当前位置:   article > 正文

verilog将100mhz分频为1hz_分频程序(50Mhz-1Hz)

verilog将50mhz分频为1hz

数字电路课程设计功能款程序

library ieee; use ieee.std_logic_1164.all; entity fen is port (clk:in std_logic; q: out std_logic); end fen; architecture fen_arc of fen is begin process(clk) variable cnt: integer range 0 to 24999999; variable x: std_logic; begin if clk'event and clk = '1' then if cnt<24999999 then cnt:=cnt+1; else cnt:=0; x:= not x; end if; end if; q<=x; end process; end fen_arc;

该程序是将50MHz的频率变成1Hz的频率,若要分成其他频率的信号,自己修改程序。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/神奇cpp/article/detail/805518
推荐阅读
相关标签
  

闽ICP备14008679号