赞
踩
# Represents the port as clock source
create_clock -period 10 [get_ports A]
OR
# Represents the net as clock source
create_clock -period 10 [get_nets N]
OR
# Represents the pin as clock source.
# Assuming, fl op instance name is FF
create_clock -period 10 [get_pins FF/P]
create_clock -period 10 [get_ports A]
,时钟信号的名称将设定为A。create_clock -period 10-name CLK -waveform {5 10} [get_ports A]
create_clock -period 10 -name NEW_CLK -waveform {0 7} [get_ports C]
create_clock -period 10 -name CLK -waveform {3 5 8 9} [get_ports C3]
create_clock -name C1 -period 10 [get_ports CLK]
create_clock -name C2-period 15 [get_ports CLK] -add
create_clock -period 10 -name clk [get_ports clk] \
-comment “Clock for USB block generated by PLL”
create_clock -period 10 -name v_clk -waveform {0 5}
在图5.7中,该时钟信号源为CLK端口。我们在此时钟源定义一个周期为10ns的时钟,然后马上就能获得时序需求,数据从F1启动,在10ns内到达F2。我们进一步假设F2是下降沿触发的。时钟信号的占空比为50%,现在F2的有效边沿将在F1有效边沿到达后5ns出现。
因此,该路径的时序需求变为了5ns,而不是10ns。以上两个实例对于时钟规格如何定义两个同步元素之间时序路径的需求提供了一个非常简单的说明。
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。