当前位置:   article > 正文

matlab和Verilog之截位,四舍五入和饱和处理

matlab和Verilog之截位,四舍五入和饱和处理

在数字芯片设计中,遇到数据流处理时,经常会遇到饱和,截位和四舍五入处理。下面就在这里做个总结。

数字设计时需要有相应的算法。matlab是算法人员常用的仿真工具。首先看一下再matlab中几个取整的函数。

(1)floor,朝负无穷方向取整,也即向下取整。比如floor(-1.01) =-2;floor(1.9) =1。

(2)ceil,朝正无穷方向取整,也即向上取整。比如ceil(-1.01)=-1; ceil(1.01)=2。

(3)round,四舍五入到最近的整数。比如round(-1.01)=1-; round(-1.5)=-2; round(1.05) =1; round(1.5)=2。

(4)fix,朝零方向取整。fix(-1.5)=-1; fix(-1.01)=1; fix(1.5)=1; fix(1.01) = 1。

当然对于一份详细的定点数字芯片设计算法说明书,里面是不会出现上述函数,要详细说明对数据的操作和处理。。定点算法里面一定要描述清楚数据的符号和位宽,对于浮点数,还要标定小数位位宽。

定义a为位宽为n bit的有符号数,a[n-1:0]。

  • 截取m bit向下取整,floor(a/2^m),Verilog实现如下:

  • 截取m bit向上取整,ceil(a/2^m),Verilog实现如下:

  • 截取m bit四舍五入,round(a/2^m),Verilog实现如下:

  • 截取m bit向0方向取整,fix(a/2^m),Verilog实现如下:

  • 饱和到 m bit,Verilog实现如下:

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/Cpp五条/article/detail/453950
推荐阅读
相关标签
  

闽ICP备14008679号