当前位置:   article > 正文

RS485串口通信实验(使用两块开发板通过RS-485串口通信,由各自开发板上的四个按键分别控制对方开发板上四个LED灯的亮灭。)_两块开发板如何485对测

两块开发板如何485对测

RS485只有两根数据线,只能实现半双工传输

系统框图

1.按键模块

 

  1. module key_debounce( //按键消抖
  2. input sys_clk, //外部50M时钟
  3. input sys_rst_n, //外部复位信号,低有效
  4. input [3:0] key, //外部按键输入
  5. output reg key_flag, //按键数据有效信号
  6. output reg [3:0] key_value //按键消抖后的数据
  7. );
  8. //reg define
  9. reg [31:0] delay_cnt; //按键消抖计数器
  10. reg [ 3:0] key_reg; //按键值寄存器
  11. //*****************************************************
  12. //** main code
  13. //*****************************************************
  14. /*******按键消抖*******************/
  15. always @(posedge sys_clk or negedge sys_rst_n) begin
  16. if (!sys_rst_n) begin
  17. key_reg <= 4'b1111;
  18. delay_cnt <= 32'd0;
  19. end
  20. else begin
  21. key_reg <= key;
  22. if(key_reg != key) //一旦检测到按键状态发生变化(有按键被按下或释放)
  23. delay_cnt <= 32'd1000000; //给延时计数器重新装载初始值(计数时间为20ms)
  24. else if(key_reg == key) begin //在按键状态稳定时,计数器递减,开始20ms倒计时
  25. if(delay_cnt > 32'd0)
  26. delay_cnt <= delay_cnt - 1'b1;
  27. else
  28. delay_cnt <= delay_cnt;
  29. end
  30. end
  31. end
  32. /**************得到按键值*******************/
  33. always @(posedge sys_clk or negedge sys_rst_n) begin
  34. if (!sys_rst_n) begin
  35. key_flag <= 1'b0;
  36. key_value <= 4'b1111;
  37. end
  38. else begin
  39. if(delay_cnt == 32'd1) begin //当计数器递减到1时,说明按键稳定状态维持了20ms
  40. key_flag <= 1'b1; //此时消抖过程结束,给出一个时钟周期的标志信号
  41. key_value <= key; //并寄存此时按键的值
  42. end
  43. else begin
  44. key_flag <= 1'b0;
  45. key_value <= key_value;
  46. end
  47. end
  48. end
  49. endmodule

 2.发送模块:

 

  1. module uart_send( //得到按键值,进行发送
  2. input sys_clk, //系统时钟
  3. input sys_rst_n, //系统复位,低电平有效
  4. input uart_en, //接收到key_flag按键数据有效信号后,发送使能信号
  5. input [7:0] uart_din, //接收到按键有效数据,待发送数据
  6. output reg uart_txd, //UART发送端口,将8位数据串行发送出去
  7. output reg tx_flag //发送使能,高有效
  8. );
  9. //parameter define
  10. parameter CLK_FREQ = 50000000; //系统时钟频率
  11. parameter UART_BPS = 9600; //串口波特率
  12. localparam BPS_CNT = CLK_FREQ/UART_BPS; //为得到指定波特率,对系统时钟计数BPS_CNT次
  13. //reg define
  14. reg uart_en_d0;
  15. reg uart_en_d1;
  16. reg [15:0] clk_cnt; //系统时钟计数器
  17. reg [ 3:0] tx_cnt; //发送数据计数器
  18. reg [ 7:0] tx_data; //寄存发送数据
  19. //wire define
  20. wire en_flag;
  21. //*****************************************************
  22. //** main code
  23. //*****************************************************
  24. //捕获uart_en上升沿,得到一个时钟周期的脉冲信号
  25. assign en_flag = (~uart_en_d1) & uart_en_d0;
  26. //对发送使能信号uart_en延迟两个时钟周期
  27. always @(posedge sys_clk or negedge sys_rst_n) begin
  28. if (!sys_rst_n) begin
  29. uart_en_d0 <= 1'b0;
  30. uart_en_d1 <= 1'b0;
  31. end
  32. else begin //当有效时,传回来1
  33. uart_en_d0 <= uart_en;
  34. uart_en_d1 <= uart_en_d0; //默认的时候是低电平
  35. end
  36. end
  37. //当脉冲信号en_flag到达时,寄存待发送的数据,并进入发送过程
  38. always @(posedge sys_clk or negedge sys_rst_n) begin
  39. if (!sys_rst_n) begin
  40. tx_flag <= 1'b0;
  41. tx_data <= 8'd0;
  42. end
  43. else if (en_flag) begin //检测到发送使能上升沿
  44. tx_flag <= 1'b1; //进入发送过程,标志位tx_flag拉高
  45. tx_data <= uart_din; //寄存待发送的数据
  46. end
  47. else
  48. if ((tx_cnt == 4'd9)&&(clk_cnt == BPS_CNT/2))
  49. begin //计数到最后一位停止位的中间时,停止发送过程
  50. tx_flag <= 1'b0; //发送过程结束,标志位tx_flag拉低
  51. tx_data <= 8'd0;
  52. end
  53. else begin
  54. tx_flag <= tx_flag;
  55. tx_data <= tx_data;
  56. end
  57. end
  58. //进入发送过程后,启动系统时钟计数器与发送数据计数器
  59. always @(posedge sys_clk or negedge sys_rst_n) begin
  60. if (!sys_rst_n) begin
  61. clk_cnt <= 16'd0;
  62. tx_cnt <= 4'd0;
  63. end
  64. else if (tx_flag) begin //处于发送过程
  65. if (clk_cnt < BPS_CNT - 1) begin
  66. clk_cnt <= clk_cnt + 1'b1;
  67. tx_cnt <= tx_cnt;
  68. end
  69. else begin
  70. clk_cnt <= 16'd0; //对系统时钟计数达一个波特率周期后清零
  71. tx_cnt <= tx_cnt + 1'b1; //此时发送数据计数器加1,即已经经过一个发送周期,发送完一位数据
  72. end
  73. end
  74. else begin //发送过程结束
  75. clk_cnt <= 16'd0;
  76. tx_cnt <= 4'd0;
  77. end
  78. end
  79. //根据发送数据计数器来给uart发送端口赋值
  80. always @(posedge sys_clk or negedge sys_rst_n) begin
  81. if (!sys_rst_n)
  82. uart_txd <= 1'b1;
  83. else if (tx_flag)
  84. case(tx_cnt)
  85. 4'd0: uart_txd <= 1'b0; //起始位
  86. 4'd1: uart_txd <= tx_data[0]; //数据位最低位
  87. 4'd2: uart_txd <= tx_data[1];
  88. 4'd3: uart_txd <= tx_data[2];
  89. 4'd4: uart_txd <= tx_data[3];
  90. 4'd5: uart_txd <= tx_data[4];
  91. 4'd6: uart_txd <= tx_data[5];
  92. 4'd7: uart_txd <= tx_data[6];
  93. 4'd8: uart_txd <= tx_data[7]; //数据位最高位
  94. 4'd9: uart_txd <= 1'b1; //停止位
  95. default: ;
  96. endcase
  97. else
  98. uart_txd <= 1'b1; //空闲时发送端口为高电平
  99. end
  100. endmodule

3.接收模块:

 

  1. module uart_recv(
  2. input sys_clk, //系统时钟
  3. input sys_rst_n, //系统复位,低电平有效
  4. input uart_rxd, //UART接收端口,即接收发送端的串行数据
  5. output reg uart_done, //接收一帧数据完成标志信号
  6. output reg [7:0] uart_data //接收的数据
  7. );
  8. //parameter define
  9. parameter CLK_FREQ = 50000000; //系统时钟频率
  10. parameter UART_BPS = 9600; //串口波特率
  11. localparam BPS_CNT = CLK_FREQ/UART_BPS; //为得到指定波特率,
  12. //需要对系统时钟计数BPS_CNT次
  13. //reg define
  14. reg uart_rxd_d0;
  15. reg uart_rxd_d1;
  16. reg [15:0] clk_cnt; //系统时钟计数器
  17. reg [ 3:0] rx_cnt; //接收数据计数器
  18. reg rx_flag; //接收过程标志信号
  19. reg [ 7:0] rxdata; //接收数据寄存器
  20. //wire define
  21. wire start_flag;
  22. //*****************************************************
  23. //** main code
  24. //*****************************************************
  25. //捕获接收端口下降沿(起始位),得到一个时钟周期的脉冲信号
  26. assign start_flag = uart_rxd_d1 & (~uart_rxd_d0);
  27. //对UART接收端口的数据延迟两个时钟周期
  28. always @(posedge sys_clk or negedge sys_rst_n) begin
  29. if (!sys_rst_n) begin
  30. uart_rxd_d0 <= 1'b0;
  31. uart_rxd_d1 <= 1'b0;
  32. end
  33. else begin
  34. uart_rxd_d0 <= uart_rxd; //所以接收到有效位为0
  35. uart_rxd_d1 <= uart_rxd_d0; //发送端空闲时为高电平
  36. end
  37. end
  38. //当脉冲信号start_flag到达时,进入接收过程
  39. always @(posedge sys_clk or negedge sys_rst_n) begin
  40. if (!sys_rst_n)
  41. rx_flag <= 1'b0;
  42. else begin
  43. if(start_flag) //检测到起始位
  44. rx_flag <= 1'b1; //进入接收过程,标志位rx_flag拉高
  45. else if((rx_cnt == 4'd9)&&(clk_cnt == BPS_CNT/2))
  46. rx_flag <= 1'b0; //计数到最后一位停止位中间时,停止接收过程
  47. else
  48. rx_flag <= rx_flag;
  49. end
  50. end
  51. //进入接收过程后,启动系统时钟计数器与接收数据计数器
  52. always @(posedge sys_clk or negedge sys_rst_n) begin
  53. if (!sys_rst_n) begin
  54. clk_cnt <= 16'd0;
  55. rx_cnt <= 4'd0;
  56. end
  57. else if ( rx_flag ) begin //处于接收过程
  58. if (clk_cnt < BPS_CNT - 1) begin
  59. clk_cnt <= clk_cnt + 1'b1;
  60. rx_cnt <= rx_cnt;
  61. end
  62. else begin
  63. clk_cnt <= 16'd0; //对系统时钟计数达一个波特率周期后清零
  64. rx_cnt <= rx_cnt + 1'b1; //此时接收数据计数器加1
  65. end
  66. end
  67. else begin //接收过程结束,计数器清零
  68. clk_cnt <= 16'd0;
  69. rx_cnt <= 4'd0;
  70. end
  71. end
  72. //根据接收数据计数器来寄存uart接收端口数据
  73. always @(posedge sys_clk or negedge sys_rst_n) begin
  74. if ( !sys_rst_n)
  75. rxdata <= 8'd0;
  76. else if(rx_flag) //系统处于接收过程
  77. if (clk_cnt == BPS_CNT/2) begin //判断系统时钟计数器计数到数据位中间
  78. case ( rx_cnt )
  79. 4'd1 : rxdata[0] <= uart_rxd_d1; //寄存数据位最低位
  80. 4'd2 : rxdata[1] <= uart_rxd_d1;
  81. 4'd3 : rxdata[2] <= uart_rxd_d1;
  82. 4'd4 : rxdata[3] <= uart_rxd_d1;
  83. 4'd5 : rxdata[4] <= uart_rxd_d1;
  84. 4'd6 : rxdata[5] <= uart_rxd_d1;
  85. 4'd7 : rxdata[6] <= uart_rxd_d1;
  86. 4'd8 : rxdata[7] <= uart_rxd_d1; //寄存数据位最高位
  87. default:;
  88. endcase
  89. end
  90. else
  91. rxdata <= rxdata;
  92. else
  93. rxdata <= 8'd0;
  94. end
  95. //数据接收完毕后给出标志信号并寄存输出接收到的数据
  96. always @(posedge sys_clk or negedge sys_rst_n) begin
  97. if (!sys_rst_n) begin
  98. uart_data <= 8'd0;
  99. uart_done <= 1'b0;
  100. end
  101. else if(rx_cnt == 4'd9) begin //接收数据计数器计数到停止位时
  102. uart_data <= rxdata; //寄存输出接收到的数据
  103. uart_done <= 1'b1; //并将接收完成标志位拉高
  104. end
  105. else begin
  106. uart_data <= 8'd0;
  107. uart_done <= 1'b0;
  108. end
  109. end
  110. endmodule

Q:为啥这两个不一样啊

A:串口都是默认是高电平的,有数据之后就是低电平,停止位是回到高(我老是以为uart_en_d0和uart_en_d1是复位的时候给的值,全是0,应该复位完之后,就已经在传值了)

4.led模块:

 

  1. module led_ctrl(
  2. input sys_clk, //外部50M时钟
  3. input sys_rst_n, //外部复位信号,低有效
  4. input led_en, //led控制使能,接收到uart_done
  5. input [3:0] led_data, //led控制数据
  6. output reg [3:0] led //led灯
  7. );
  8. //reg define
  9. reg led_en_d0;
  10. reg led_en_d1;
  11. //wire define
  12. wire led_en_flag;
  13. //*****************************************************
  14. //** main code
  15. //*****************************************************
  16. //捕获led_en上升沿,得到一个时钟周期的脉冲信号
  17. assign led_en_flag = (~led_en_d1) & led_en_d0;
  18. always @(posedge sys_clk or negedge sys_rst_n) begin
  19. if (!sys_rst_n) begin s
  20. led_en_d0 <= 1'b0;
  21. led_en_d1 <= 1'b0;
  22. end
  23. else begin
  24. led_en_d0 <= led_en;
  25. led_en_d1 <= led_en_d0; //当没有接收完时为0
  26. end
  27. end
  28. always @(posedge sys_clk or negedge sys_rst_n) begin
  29. if (!sys_rst_n)
  30. led <= 4'b0000;
  31. else if(led_en_flag) //在led_en上升沿到来时,改变led灯的状态
  32. led <= ~led_data; //按键按下时为低电平,而led高电平时点亮
  33. else
  34. led <= led;
  35. end
  36. endmodule

5.顶层模块:

 

  1. module rs485_uart_top(
  2. input sys_clk, //外部50M时钟
  3. input sys_rst_n, //外部复位信号,低有效
  4. input [3:0] key, //按键
  5. output [3:0] led, //led灯
  6. //uart接口
  7. input rs485_uart_rxd, //rs485串口接收端口
  8. output rs485_uart_txd, //rs485串口发送端口
  9. output rs485_tx_en //rs485发送使能,高有效
  10. );
  11. //parameter define
  12. parameter CLK_FREQ = 50000000; //定义系统时钟频率50M
  13. parameter UART_BPS = 115200; //定义串口波特率
  14. //wire define
  15. wire tx_en_w; //UART发送使能
  16. wire rx_done_w; //UART接收完毕信号
  17. wire [7:0] tx_data_w; //UART发送数据
  18. wire [7:0] rx_data_w; //UART接收数据
  19. wire [3:0] key_value_w; //消抖后的按键数据
  20. //*****************************************************
  21. //** main code
  22. //*****************************************************
  23. assign tx_data_w = {4'd0,key_value_w}; //将按键消抖后的值送到发送模块
  24. uart_recv #( //串口接收模块
  25. .CLK_FREQ (CLK_FREQ), //设置系统时钟频率
  26. .UART_BPS (UART_BPS)) //设置串口接收波特率
  27. u_uart_recv(
  28. .sys_clk (sys_clk),
  29. .sys_rst_n (sys_rst_n),
  30. .uart_rxd (rs485_uart_rxd),
  31. .uart_done (rx_done_w),
  32. .uart_data (rx_data_w)
  33. );
  34. uart_send #( //串口发送模块
  35. .CLK_FREQ (CLK_FREQ), //设置系统时钟频率
  36. .UART_BPS (UART_BPS)) //设置串口发送波特率
  37. u_uart_send(
  38. .sys_clk (sys_clk),
  39. .sys_rst_n (sys_rst_n),
  40. .uart_en (tx_en_w),
  41. .uart_din (tx_data_w),
  42. .uart_txd (rs485_uart_txd),
  43. .tx_flag (rs485_tx_en) //rs485串口发送使能,高有效
  44. );
  45. key_debounce u_key_debounce(
  46. .sys_clk (sys_clk),
  47. .sys_rst_n (sys_rst_n),
  48. .key (key),
  49. .key_flag (tx_en_w), //按键有效通知信号
  50. .key_value (key_value_w) //按键消抖后的数据
  51. );
  52. led_ctrl u_led_ctrl(
  53. .sys_clk (sys_clk),
  54. .sys_rst_n (sys_rst_n),
  55. .led_en (rx_done_w), //led控制使能
  56. .led_data (rx_data_w[3:0]), //led控制数据
  57. .led (led)
  58. );
  59. endmodule

 

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/Gausst松鼠会/article/detail/455855
推荐阅读
相关标签
  

闽ICP备14008679号