当前位置:   article > 正文

第八讲 半加器_quartus ii半加器数据流

quartus ii半加器数据流

半加器:两个数字相加产生一个结果位和进位

第一步:用visio画出波形图

第二步:根据波形图编写代码

  1. module half_adder (
  2. input wire in_1,
  3. input wire in_2,
  4. output wire sum,
  5. output wire count
  6. );
  7. assign {count, sum} = in_1 + in_2;
  8. endmodule

 第三步:打开quartus2新建工程

 

第一步选择文件的保存路径

第二步给工程文件命名

这里直接下一步 

 

这里浅浅选择一下 ,这儿都轻车熟路了

这里添加一下.v文件 

这里添加完成之后应用再确定

然后编译查找一下语法错误 

可以按这个查看rtl视图,虽然目前不知道有什么用,但不能不知道有这个东西

接下来编写仿真文件的代码

  1. `timescale 1ns/1ns
  2. module tb_half_adder();
  3. //输入
  4. reg in_1;
  5. reg in_2;
  6. //输出
  7. wire sum;
  8. wire count;
  9. //最初输入的配置
  10. initial begin//initial,最初的
  11. in_1 <= 1'b0;
  12. in_2 <= 1'b0;
  13. end
  14. initial//监测函数,用于窗口表格化检测信号变化
  15. begin
  16. $timeformat(-9,0,"ns",6);//系统函数是花括号,而不是大括号,下面会有这个函数的详细解释
  17. $monitor("@time %t:in_1=%b,in_2=%b,sum=%b,count=%b",$time,in_1,in_2,sum,count);
  18. end
  19. //给随机信号
  20. always #10 in_1 <= {$random} % 2;
  21. always #10 in_2 <= {$random} % 2;
  22. //接口函数的编写,相当于给模块接输入和示波器
  23. half_adder half_adder
  24. (
  25. .in_1 (in_1),
  26. .in_2 (in_2),
  27. .sum (sum),
  28. .count (count)
  29. );
  30. endmodule

接下来是仿真文件的加入和软件配置 (虽然前面仿真文件是按照视频一点点打的,但还是会会出现一些错误,还是要先编译再配置仿真文件的加入)

添加测试文件 

下面是仿真设置

选择assignments(分配,作业)->settings(设置)

这里是让quartus2知道测试文件的位置和名字,上面是导入仿真文件,不然会把普通文件认成仿真文件

然后开始仿真 

这一通过ctrl+鼠标滚轮调节图的大小

然后如果不小心关闭了某个图可以取view里面找出来

这个可以将窗口初始化 回到刚开始的画面,属实是示波器的auto了

仿真成功 

点击这个位置绑定管脚 

 

点开这个进行程序的下载 

 

之后点击start就可以了 

今天的学习就到此位置

附上小知识点

 

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/Gausst松鼠会/article/detail/577529
推荐阅读
相关标签
  

闽ICP备14008679号