赞
踩
半加器:两个数字相加产生一个结果位和进位
第一步:用visio画出波形图
第二步:根据波形图编写代码
- module half_adder (
- input wire in_1,
- input wire in_2,
-
- output wire sum,
- output wire count
- );
- assign {count, sum} = in_1 + in_2;
- endmodule
第三步:打开quartus2新建工程
第一步选择文件的保存路径
第二步给工程文件命名
这里直接下一步
这里浅浅选择一下 ,这儿都轻车熟路了
这里添加一下.v文件
这里添加完成之后应用再确定
然后编译查找一下语法错误
可以按这个查看rtl视图,虽然目前不知道有什么用,但不能不知道有这个东西
接下来编写仿真文件的代码
- `timescale 1ns/1ns
- module tb_half_adder();
- //输入
- reg in_1;
- reg in_2;
- //输出
- wire sum;
- wire count;
-
- //最初输入的配置
- initial begin//initial,最初的
- in_1 <= 1'b0;
- in_2 <= 1'b0;
- end
-
- initial//监测函数,用于窗口表格化检测信号变化
- begin
- $timeformat(-9,0,"ns",6);//系统函数是花括号,而不是大括号,下面会有这个函数的详细解释
- $monitor("@time %t:in_1=%b,in_2=%b,sum=%b,count=%b",$time,in_1,in_2,sum,count);
- end
-
- //给随机信号
- always #10 in_1 <= {$random} % 2;
- always #10 in_2 <= {$random} % 2;
-
- //接口函数的编写,相当于给模块接输入和示波器
- half_adder half_adder
- (
- .in_1 (in_1),
- .in_2 (in_2),
-
- .sum (sum),
- .count (count)
- );
- endmodule
接下来是仿真文件的加入和软件配置 (虽然前面仿真文件是按照视频一点点打的,但还是会会出现一些错误,还是要先编译再配置仿真文件的加入)
添加测试文件
下面是仿真设置
选择assignments(分配,作业)->settings(设置)
这里是让quartus2知道测试文件的位置和名字,上面是导入仿真文件,不然会把普通文件认成仿真文件
然后开始仿真
这一通过ctrl+鼠标滚轮调节图的大小
然后如果不小心关闭了某个图可以取view里面找出来
这个可以将窗口初始化 回到刚开始的画面,属实是示波器的auto了
仿真成功
点击这个位置绑定管脚
点开这个进行程序的下载
之后点击start就可以了
今天的学习就到此位置
附上小知识点
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。