当前位置:   article > 正文

verilog实现有符号数求绝对值_verilog绝对值运算符

verilog绝对值运算符
原码求绝绝对值,比较简单就不再说明,这里按照补码就绝对值进行分析

补码 -->原码
如果数据位负数 则符号位不变 数据位按位求反 + 1;
如果数据位正数,则补码 = 原码

module OToC_code(
	
	input [4:0] a,
	output [4:0] out
    );


	
//assign out = (a[4] == 1)?({a[4],~a[3:0]}+1):a;

assign  out = (a[4] == 1)?(~a + 1'b1):a;
endmodule

  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13

在这里插入图片描述

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/IT小白/article/detail/453949
推荐阅读
相关标签
  

闽ICP备14008679号