当前位置:   article > 正文

vivado中ila(逻辑分析仪)的使用_vhdl ila的使用

vhdl ila的使用

vivado在上板的时候,需要看某些信号的状态,这时就该ila登场了。

以前我使用ila看信号的时候,主要是通过在程序中添加语句的方式来实现,这种方式比较简单,也比较随意。下面给出需要添加的语句。

(1)VHDL语言

 需要在程序的相应位置添加下面这两句话:

attribute mark_debug:string;

attribute mark_debug of [signal name]:signal is "true";

(2)verilog语言

需要在程序的相应位置添加下面这句话:

(mark_debug = “true”) input [signal name];

(mark_debug = “true”) reg [signal name];

现在由于新的需要,需要通过添加IP核的方式添加ila,对于身为菜鸟的我就有些难度了。对于此种方式,我在这里推荐一篇文章,自认为写的比较详细了。

文章链接:https://blog.csdn.net/qq_42224089/article/details/130099461

这是本人的第一篇文章,写的比较糙,以后慢慢改进。

本人是fpga菜鸟一枚,还处于学习阶段,欢迎大家一起交流学习。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/Li_阴宅/article/detail/879432
推荐阅读
  

闽ICP备14008679号