当前位置:   article > 正文

tcl脚本vlog命令的参数列表(纯英文,无注释)_tcl语言vlog

tcl语言vlog
  1. # Model Technology ModelSim SE-64 vlog 10.4 Compiler 2014.12 Dec  3 2014
  2. # Usage: vlog [options] files
  3. # Options:
  4. #   -help              Print this message
  5. #   -time              Print the compilation wall clock time
  6. #   -version           Print the version of the compiler
  7. #   -32                Run in 32-bit mode
  8. #   -64                Run in 64-bit mode
  9. #   -work <path>       Specify library WORK
  10. #   -fatal <msgNumber>[,<msgNumber>...]
  11. #                      Report the listed messages as fatal
  12. #   -error <msgNumber>[,<msgNumber>...]
  13. #                      Report the listed messages as errors
  14. #   -warning <msgNumber>[,<msgNumber>...]
  15. #                      Report the listed messages as warnings
  16. #   -warning error     Report all warnings as errors
  17. #   -note <msgNumber>[,<msgNumber>...]
  18. #                      Report the listed message as notes
  19. #   -suppress <msgNumber>[,<msgNumber>...]
  20. #                      Suppress the listed messages
  21. #   -msglimit [all,|none,][-|+]<msgNumber>[,[-|+]<msgNumber>...]
  22. #                      Limit the reporting of listed messages to default count
  23. #   -msglimitcount <limit_value> -msglimit [all,|none,][-|+]<msgNumber>[,[-|+]<msgNumber>...]
  24. #                      Limit the reporting of listed messages to user defined count
  25. #   -svfilesuffix=<extension>[,<extension>...]
  26. #                      filename extensions for SystemVerilog code
  27. #   -93                Preserve the case of Verilog module (and parameter
  28. #                      and port) names in the equivalent VHDL entity by using
  29. #                      VHDL-1993 extended identifiers; this may be useful
  30. #                      in mixed-language designs
  31. #   -ams               Enable AMS wreal extensions
  32. #   -wireasinterconnect               Convert qualifying nets from wire to interconnect.
  33. #   -wireasinterconnectverbose       Identify which nets have been converted from wire to interconnect.
  34. #   -addpragmaprefix <prefix>
  35. #                      Enable recognition of synthesis and coverage pragmas with
  36. #                      a user specified prefix.
  37. ---------------------------------------------------------------------------------------------------------------------------
  38. #   --------  Access Control and Debug Options  --------
  39. #       These options help maximize simulation performance while retaining access
  40. #       to objects of interest. The effect of this option is limited only to
  41. #       those design units being compiled in the current vlog session.
  42. #   +acc[=<spec>]
  43. #                      <spec> consists of one or more of the following letter codes:
  44. #                         m (module, program, and interface instances)
  45. #                         n (nets)
  46. #                         p (ports)
  47. #                         r (variables and parameters)
  48. #                         t (task and function scopes)
  49. #   -assertdebug       Allow to debug SVA/PSL objects, with vsim -assertdebug
  50. #   -bitscalars        Preserve access to net bits
  51. #   -cellaccess        Preserve access to cell internal objects
  52. #   -fsmdebug          Finite state machine recognition and debugging
  53. #   -floatparameters   Don't lock down parameter values during optimization.
  54. #                      This enables use of the vsim -g/G options on the affected
  55. #                      parameters.
  56. #   -linedebug         line debugging
  57. #   -nosparse          Instructs the tool not to mark memories as sparse
  58. #                      by default.
  59. #   -primitiveaccess   Preserve access to primitive instances
  60. #   -randmetastable    Inject 0/1 randomly if timing violation occurs
  61. #   -systfoverride     Enable override of built-in system tasks
  62. #   --------
  63. #   -compat            Disable optimizations that result in different event ordering
  64. #                      than Verilog-XL (at expense of performance).
  65. #   -ccflags "opts"
  66. #                      Specify in quotes all the C/C++ compiler options for vlog/qverilog
  67. #   -dpicpppath <path_to_gcc>
  68. #                      Specify desired GCC path for DPI compilation
  69. #   -dpicppinstall <[gcc|g++] version>
  70. #                      Specify the version of the desired GNU compiler supported 
  71. #                      and distributed by Mentor for the DPI compilation
  72. #   -compile_uselibs[=<directory_name>]
  73. #                      Use the `uselib directive to find verilog source files
  74. #                      and compile them into automatically created libraries
  75. #   -cuname <compilation_unit_name>
  76. #                      Explicitly name the compilation unit package. The option
  77. #                      can only be used with -mfcu. The <compilation_unit_name>
  78. #                      can be top design unit name at vsim and vopt commandline
  79. #   -cuautoname=[file|du]
  80. #                      Select method for naming $unit library entries.
  81. #                      file - base the name of the first file on the command line (default)
  82. #                      du   - base the name on the first design unit following items
  83. #                             found in the $unit scope
  84. #   -createlib         Create libraries that do not exist.
  85. #   -nocreatelib       Do not create libraries that do not exist.
  86. #   +cover[=<spec>]
  87. #                      <spec> is used to enable code coverage metrics for certain
  88. #                      kinds of constructs.
  89. #                      <spec> consists of one or more of the following letter codes:
  90. #                         s (statement)
  91. #                         b (branch)
  92. #                         c (condition)
  93. #                         e (expression)
  94. #                         f (finite state machine)
  95. #                         t (toggle)
  96. #                         x (extended toggle)
  97. #                      If no <spec> characters are given, sbceft is the default.
  98. #   -coverenhanced     Enables functionality which may change the appearance or content of coverage
  99. #                      metrics. A detailed list of these changes can be found by searching in the
  100. #                      release notes for 'coverenhanced'. This option only takes meaningful effect in
  101. #                      letter releases (e.g. 10.2b). It has no effect in initial major releases (e.g. 10.2).
  102. #   -coveropt <i>      Specify a digit for code coverage optimization level: 1 through 4.
  103. #   -coverexcludedefault Automatically exclude case default clauses.
  104. #   -coverfec          Enable Focused Expression Coverage analysis for conditions and expressions.
  105. #   -nocoverfec        Disable Focused Expression Coverage analysis for conditions and expressions.
  106. #   -coverrec          Enable Rapid Expression Coverage mode of FEC for conditions and expressions.
  107. #   -nocoverrec        Disable Rapid Expression Coverage mode of FEC for conditions and expressions.
  108. #   -coverudp          Enable UDP Coverage analysis for conditions and expressions.
  109. #   -nocoverudp        Disable UDP Coverage analysis for conditions and expressions.
  110. #   -nocovershort      Disable short circuiting of expressions/condition when coverage is enabled.
  111. #   -coverexpandrdpfx  Bit-blast multi-bit operands of reduction prefix expressions for expression/condition coverage.
  112. #   -nocoverexpandrdpfx Don't bit-blast multi-bit operands of reduction prefix expressions for expression/condition coverage.
  113. #   -nocoverexcludedefault Don't automatically exclude case default clauses.
  114. #   -covercells        Enable code coverage options in cells
  115. #   -nocovercells      Disable code coverage options in cells
  116. #   -constimmedassert  Show constant immediate assertions in GUI/UCDB/reports etc.
  117. #   -togglecountlimit n Quit collecting toggle info after count n is reached.
  118. #   -togglewidthlimit n Don't collect toggle data on reg's or arrays wider than n.
  119. #   -extendedtogglemode [1|2|3]
  120. #                      Change the level of support for extended toggles.
  121. #                      The levels of support are:
  122. #                      1 - 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z')
  123. #                      2 - 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'
  124. #                      3 - 0L->1H & 1H->0L & all 'Z' transitions
  125. #   -toggleportsonly   Enable toggle statistics collection only for ports.
  126. #   -maxudprows n      Max number of rows allowed in UDP tables for code coverage.
  127. #   -maxfecrows n      Max number of input patterns allowed in FEC table for code coverage.
  128. #   -fecudpeffort n    Limit the size of expressions and conditions considered for expr/cond coverage.
  129. #                      Levels supported are:
  130. #                      1 - (low) Only small expressions and conditions considered for coverage.
  131. #                      2 - (medium) Bigger expressions and conditions considered for coverage.
  132. #                      3 - (high) Very large expressions and conditions considered for coverage.
  133. #   -coverreportcancelled Report coverage items that have been optimized away.
  134. #   -coverdeglitch <period> Report only the last execution of non-clocked processes/continuous assignments
  135. #                      within time greater than <period>, where <period> is 0 or
  136. #                      a time string with units
  137. #   +define+<macro_name>[=<macro_text>]
  138. #                      Same as compiler directive: `define macro_name macro_text
  139. #   -deglitchalways    Make always blocks insensitive to variable
  140. #                      glitches, potentially breaking zero delay oscillations
  141. #                      among combinatorial always blocks. (default)
  142. #   -nodeglitchalways  Disable -deglitchalways behavior.
  143. #   +delay_mode_distributed
  144. #                      Use structural delays and ignore path delays
  145. #   +delay_mode_path   Set structural delays to zero and use path delays
  146. #   +delay_mode_unit   Set non-zero structural delays to one
  147. #   +delay_mode_zero   Set structural delays to zero
  148. #   -dpiforceheader    Force generation of dpi header file even when
  149. #                      empty of function prototypes
  150. #   -dpiheader <filename>
  151. #                      Save the generated declarations of SystemVerilog DPI
  152. #                      tasks and functions into <filename>
  153. #   -E <filename>      Write preprocessed Verilog and SystemVerilog into <filename>
  154. #   -Epretty <filename>
  155. #                      Write pretty preprocessed Verilog and SystemVerilog into <filename>
  156. #   -Edebug <filename>
  157. #                      Write debugable preprocessed Verilog and SystemVerilog into <filename>
  158. #   -enumfirstinit     Initialize an enum using its first elem.
  159. #   -f <path>          Specify a file containing more command line arguments
  160. #   -F <path>          Specify a file containing more command line arguments. Prefixes relative
  161. #                      file names within the arguments file with the absolute path of arguments file,
  162. #                      if lookup with relative path fails.
  163. #   -outf <filename>   Specify a file to save the final list of options after recursively expanding
  164. #                      all -f, -file and -F files.
  165. #   -force_refresh     Force a refresh of the library image from .dat file(s)
  166. #                      even if there are dependency errors
  167. #   -optionset <optionset_name>
  168. #                      Calls an option set in modelsim.ini.
  169. #   -nofsmresettrans   Disable recognition of implicit asynchronous reset transitions for FSMs
  170. #   -fsmresettrans     Enable recognition of implicit asynchronous reset transitions for FSMs
  171. #   -nofsmsingle       Disable recognition FSMs having single bit current state variable
  172. #   -fsmsingle         Enable recognition FSMs having single bit current state variable
  173. #   -fsmimplicittrans  Enable recognition of implicit transitions in FSMs
  174. #   -nofsmimplicittrans Disable recognition of implicit transitions in FSMs
  175. #   -fsmmultitrans     Enable recognition of Multi-state transitions in FSMs
  176. #   -fsmverbose [b|t|w]
  177. #                      Provides information about FSMs recognized, including state reachability analysis.
  178. #                      There are three detail levels that can be set with this option.
  179. #                         b (displays only basic information)
  180. #                         t (displays a transition table in addition to the basic information)
  181. #                         w (displays any warning messages in addition to the basic information)
  182. #                      If no character is specified, btw is the default.
  183. #   -nofsmxassign      Disable recognition of FSMs containing x assignment
  184. #   -fsmxassign        Enable recognition of FSMs containing x assignment
  185. #   -gen_xml <entity> <output>
  186. #                      Output (into a file) the interface definition of the
  187. #                      specified design unit in XML format
  188. #   -ignorepragmaprefix <prefix>
  189. #                      Ignore synthesis and coverage pragmas with specified prefix
  190. #   -hazards           Enable run-time hazard checking code
  191. #   +incdir+<dir>      Search directory for files included with
  192. #                      `include "filename"
  193. #   -incr              Enable incremental compilation
  194. #   +initmem[=<spec>][+0|1|X|Z]
  195. #                      Initialize fixed-size arrays of type indicated by <spec>.
  196. #   +initreg[=<spec>][+0|1|X|Z]
  197. #                      Initialize variables of type indicated by <spec>.
  198. #                      Valid values of <spec> are:
  199. #                         r (4-state integral types)
  200. #                         b (2-state integral types)
  201. #                         e (enum types)
  202. #                         u (udp types)
  203. #                      If no <spec> is given, all these types are enabled.
  204. #                      If 0|1|X|Z is specified, all the bits in the variable
  205. #                      are intialized to that value.  Otherwise, these variables
  206. #                      are prepared for randomization during vsim.
  207. #   +iterevaluation    Enable an iterative evaluation mechanism on optimized gate-level
  208. #                      cells with feedback loops.
  209. #   -isymfile <filename>
  210. #                      Write the symbol names of all DPI import tf's into <filename>
  211. #   -L <libname>       Search library for design units needed when optimizing
  212. #   -Lf <libname>      Same as -L, but libraries are searched before `uselib
  213. #   -l <filename>      Write compilation log to <filename>
  214. #   +libext+<suffix>   Specify suffix of files in library directory
  215. #   -libmap <path>     Specify Verilog 2001 library map file
  216. #   -libmap_verbose    Display library map pattern matching information during compilation
  217. #   +librescan         Scan libraries in command line order for all
  218. #                      unresolved module references
  219. #   -line <lineNum>    Specify a starting line number
  220. #   -lint              Perform lint-style checks
  221. #   -lowercasepragma   Allow only lower case pragmas
  222. #   -lowercasepslpragma   Allow only lower case PSL pragmas
  223. #   -modelsimini <modelsim.ini>
  224. #                      Specify path to the modelsim.ini file.
  225. #   +maxdelays         Use maximum timing from min:typ:max expressions
  226. #   -mfcu[=macro]      Multi-file compilation unit, all files in command line make up a compilation unit.
  227. #                      The =macro modifier only enables the visibility of macro definitions across different files.
  228. #                      The default is to have each file be a separate compilation unit (-sfcu mode).
  229. #   +mindelays         Use minimum timing from min:typ:max expressions
  230. #   -mixedansiports    Enables mixing of ANSI-style and non-ANSI-style declarations
  231. #   -nodebug[=ports][=pli][=ports+pli]
  232. #                      Do not put symbolic debugging information into the library
  233. #   -nodbgsym
  234. #                      Do not generate symbols debugging database
  235. #   -smartdbgsym
  236. #                      Generate symbols debugging database for only some special cases
  237. #   -noexcludeternary <design_unit>
  238. #                      Disables exclusion of ternary expressions in UCDB.
  239. #   -noForceUnsignedToVhdlInteger
  240. #                      Prevents conversion of untyped parameters to integer.
  241. #   -noincr            Forces complete analysis and code generation, effectively turning
  242. #                      off incremental compilation
  243. #   +nolibcell         Do not automatically define library modules as cells(default)
  244. #   +libcell           Define library modules (found with -v|-y search) as cells
  245. #   -nologo            Disable startup banner
  246. #   -[w]prof=<filename> Enables CPU (-prof) or WALL (-wprof) time based profiling
  247. #                       and saves the profile data to the given filename.
  248. #   -proftick=<integer> Set the time interval between the profile data collection.
  249. #                       Default value is 10.
  250. #   -nopsl             Disable embedded PSL language parsing
  251. #   -novopt            Do not run the "vopt" compiler before simulation
  252. #   +nospecify         Disable specify path delays and timing checks
  253. #   +notimingchecks    Disable timing checks
  254. #   -nowarn <number>   Disable specified category of warning messages; verror 1907 to see them
  255. #   +nowarn<CODE>      Disable specified warning message
  256. #  +num_opt_cell_conds+<value> 
  257. #                      Restricts gate-level optimization capacity for accepting cells with
  258. #                      I/O path and timing check conditions.
  259. #                      <value> integer between 32 and 1023, inclusive. where the default 
  260. #                      value is 1023.
  261. #   -noconstimmedassert  Do not show constant immediate assertions in GUI/UCDB/reports etc.
  262. #   -O0                Disable optimizations
  263. #   -O1                Enable some optimizations
  264. #   -O4                Enable most optimizations (default)
  265. #   -O5                Enable additional compiler optimizations
  266. #   -pedanticerrors    Enforce strict language checks
  267. #   -permissive        Relax some language error checks to warnings.
  268. #   -printinfilenames[=<filename>]
  269. #                      Print path names for all source files opened during compilation.
  270. #   +protect[=<file>]  Enable use of `protect...`endprotect compiler directives
  271. #   -pslext            Enable PSL LTL/Universal operators
  272. #   -pslfile <file>    Compile and bind PSL vunits specified by <file>
  273. #   -quiet             Disable 'Loading' messages
  274. #   -R [<simargs>]     Cause vsim to be invoked with <simargs> and top-level
  275. #                      modules; simargs consists of the rest of the arguments
  276. #                      or until a single-character dash is encountered
  277. #   -                  Indicate end of optional -R <simargs>
  278. #   -refresh           Refresh the library image from .dat file(s)
  279. #   -scdpiheader <filename>
  280. #                      Save the generated declarations of SystemVerilog SystemC DPI
  281. #                      tasks and functions into <filename>
  282. #   -sfcu              Single-file compilation unit (default),
  283. #                      each file in command line is a separate compilation unit
  284. #   -skipprotected     Ignore protected regions
  285. #   -skipprotectedmodule Ignore modules containing protected regions
  286. #   -skipsynthoffregion Ignore all constructs within synthesis_off or translate_off pragma regions.
  287. #   -source            Print the source line with error messages
  288. #   -stats[=[+-]<args>] Enables compiler statistics
  289. #                      <args> are all,none,time,cmd,msg,perf,verbose,list,kb
  290. #   -sv                Enable SystemVerilog features and keywords
  291. #   -sv05compat        Ensure compatibility with IEEE standard 1800-2005
  292. #   -sv09compat        Ensure compatibility with IEEE standard 1800-2009
  293. #   -sv12compat        Ensure compatibility with IEEE standard 1800-2012
  294. #   -svinputport=net|var|relaxed
  295. #                      Select the default kind for an input port that is
  296. #                      declared with a type, but without the var keyword.
  297. #                      Select 'net' for strict LRM compliance, where the
  298. #                      kind always defaults to wire. Select 'var' for
  299. #                      non-compliant behavior, where the kind always defaults
  300. #                      to var. The default is 'relaxed', where only a
  301. #                      type that is a 4-state scalar or 4-state single
  302. #                      dimension vector type defaults to wire.
  303. #   -svext[=[+|-]<extension>[,[+|-]<extension>]*]
  304. #                      Enable SystemVerilog language extensions.
  305. #                      Valid extensions are:
  306. #                      acum  - Assignment Compatible Untyped Mailbox.
  307. #                      atpi  - Allow Types in Port Identifiers.
  308. #                      catx  - Concat extensions.
  309. #                      daoa  - Allow passing dynamic array as open array output port argument.
  310. #                      evis  - Expand Environment Variables within Include String literals.
  311. #                      feci  - Treat constant expressions in foreach loop variable indices as constant.
  312. #                      fin0  - $finish() system call works as $finish(0), prints no diagnostic information.
  313. #                      ias   - Iterate on always @* evaluations until inputs settle.
  314. #                      idcl  - Pass import DPI call location as implicit scope.
  315. #                      iddp  - Ignore DPI disable protocol check.
  316. #                      evdactor - Do early variable declaration assignments in constructors.
  317. #                      pae   - Automatically export all symbols imported and referenced in a package.
  318. #                      sccts - String concatenation convert to string.
  319. #                      spsl  - Search for packages in source libraries specified with -y and +libext.
  320. #                      stop0 - $stop() system call works as $stop(0), prints no diagnostic information.
  321. #                      udm0  - UnDefined Macro is assume to be defined as the value 1'b0.
  322. #                      uslt  - Promote unused design units to top-level design units.
  323. #                      vmctor- Honor virtual method calls in class constructor.
  324. #   -tbxhvllint[=<fileName>]
  325. #                      The -tbxhvllint switch causes the compiler to warn about delays found in
  326. #                      the source code that may cause synchronization issues in Veloce TBX.
  327. #                      These warnings may be captured in the optional file <fileName>.
  328. #                      If you plan to use vsim's -tbxhvllint switch to extract run time delay
  329. #                      information then you must use this switch with the compiler.
  330. #   -timescale[=]<timescale>
  331. #                      Specify the default timescale for modules not having an
  332. #                      explicit timescale. The format of <timescale> is the same
  333. #                      as that of the `timescale directive.
  334. #                      For example, -timescale "1 ns / 1 ps".
  335. #   -override_timescale[=]<timescale>
  336. #                      Override the timescale specified in the source code.
  337. #   +typdelays         Use typical timing from min:typ:max expressions
  338. #   -s                 Do not load the std package.
  339. #   -u                 Convert regular Verilog identifiers to uppercase
  340. #   -v <path>          Specify Verilog source library file
  341. #   -vlog95compat      Ensure compatibility with Std 1364-1995
  342. #   -vlog01compat      Ensure compatibility with Std 1364-2001
  343. #   -convertallparams  Enables converting parameters not defined in ANSI style
  344. #                      to VHDL generics of type std_logic_vector, bit_vector,
  345. #                      std_logic and bit.
  346. #   -mixedsvvh [b | s | v] [packedstruct]
  347. #                      Facilitates using SV packages at the SV-VHDL mixed-language boundary.
  348. #                         b - treat scalars/vectors in package as bit/bit_vector
  349. #                         s - treat scalars/vectors in package as std_logic/std_logic_vector
  350. #                         v - treat scalars/vectors in package as vl_logic/vl_logic_vector
  351. #                         packedstruct - treat packed structures as VHDL arrays of equivalent size
  352. #   -vopt              Run the "vopt" compiler before simulation
  353. #   -y <path>          Specify Verilog source library directory
  354. #   -vmake             Collects complete list of command line args and files processed for use by vmake.
  355. #   -writetoplevels <fileName>
  356. #                      Writes complete list of toplevels into <fileName> (also includes the name specified
  357. #                      with -cuname). The file <fileName> can be used with vopt command's -f switch.
声明:本文内容由网友自发贡献,转载请注明出处:【wpsshop博客】
推荐阅读
相关标签
  

闽ICP备14008679号