搜索
查看
编辑修改
首页
UNITY
NODEJS
PYTHON
AI
GIT
PHP
GO
CEF3
JAVA
HTML
CSS
搜索
Monodyee
这个屌丝很懒,什么也没留下!
关注作者
热门标签
jquery
HTML
CSS
PHP
ASP
PYTHON
GO
AI
C
C++
C#
PHOTOSHOP
UNITY
iOS
android
vue
xml
爬虫
SEO
LINUX
WINDOWS
JAVA
MFC
CEF3
CAD
NODEJS
GIT
Pyppeteer
article
热门文章
1
Linux内核io体系之磁盘io_linux bypass io
2
Unity VR 开发教程 OpenXR+XR Interaction Toolkit(九)根据不同物体匹配对应的抓取手势_unity xr csdn
3
2024年AIGC现状和未来趋势_aigc趋势
4
QTabWidget和QTabBar控件样式设置(qss)_qtabwidget qss
5
MySQL 调优 | OPTIMIZER_TRACE 详解
6
计算机网络学习记录 数据链路层 Day3 (上)
7
SQLite3 数据库学习(二):SQLite 中的 SQL 语句详解_sqlite sql
8
大数据有哪些常见的数据类型?_大数据类型
9
数据库期末复习之例题汇总_大学数据库典型例题
10
Android Studio 安装教程_android studio安装教程
当前位置:
article
> 正文
数字集成电路设计-3-除法器的verilog简单实现_串行原码除法器的verilog,
作者:Monodyee | 2024-05-21 14:03:22
赞
踩
串行原码除法器的verilog,
引言
1,改成clk方式。
2,添加clk,50MHz。
3, 添加rst,同步复位。
4,添加calc_done,指示计算完成,高有效。
3.1 模块代码
[html]
view plain
copy
print
?
/*
* module:div_rill
* file name:div_rill.v
* syn:yes
* author:network
* modify:rill
* date:2012-09-10
*/
module div_rill
(
input clk,
input rst,
input[31:0] a,
input[31:0] b,
output reg [31:0] yshang,
output reg [31:0] yyushu,
output reg calc_done
);
reg[31:0] tempa;
reg[31:0] tempb;
reg[63:0] temp_a;
reg[63:0] temp_b;
reg [5:0] counter;
always @(a or b)
begin
tempa
<
= a;
tempb
<
= b;
end
always @(posedge clk)
begin
if(!rst)
begin
temp_a
<
= 64'h0000_0000_0000_0000;
temp_b
<
= 64'h0000_0000_0000_0000;
calc_done
<
= 1'b0;
end
else
begin
if(counter
<
= 31)
begin
temp_a
<
= {temp_a[62:0],1'b0};
if(temp_a[63:32]
>
= tempb)
begin
temp_a
<
= temp_a - temp_b + 1'b1;
end
else
begin
temp_a
<
= temp_a;
end
counter
<
= counter + 1;
calc_done
<
= 1'b0;
end
else
begin
counter
<
= 0;
calc_done
<
= 1'b1;
temp_a
<
= {32'h00000000,tempa};
temp_b
<
= {tempb,32'h00000000};
yshang
<
= temp_a[31:0];
yyushu
<
= temp_a[63:32];
end
end
end
endmodule
/*************** EOF ******************/
3.2 testbench
[html]
view plain
copy
print
?
/*
* module:div_rill_tb
* file name:div_rill_tb.v
* syn:no
* author:rill
* date:2012-09-10
*/
`timescale 1ns/1ns
module div_rill_tb;
reg clk;
reg rst;
reg [31:0] a;
reg [31:0] b;
wire [31:0] yshang;
wire [31:0] yyushu;
wire calc_done;
initial
begin
clk
=
0
;
rst
=
0
;
#20
rst
=
1
;
#40
a
= $random()%10000;
b
= $random()%1000;
#1000
a
= $random()%1000;
b
= $random()%100;
#1000
a
= $random()%100;
b
= $random()%10;
#1000 $stop;
end
always #10
clk
= ~clk;
div_rill DIV_RILL
(
.clk (clk),
.rst (rst),
.a (a),
.b (b),
.yshang (yshang),
.yyushu (yyushu),
.calc_done (calc_done)
);
endmodule
/******** EOF ******************/
3.3 仿真
声明:
本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:
https://www.wpsshop.cn/w/Monodyee/article/detail/602938
推荐阅读
article
【
数字
IC
/
FPGA
】
书
籍推荐(0)
--
--
《
Verilog
传奇
--
从
电路
出发的
HDL
代码
设计
》_...
【
数字
IC
/
FPGA
】
书
籍推荐(0)
--
--
《
Verilog
传奇
--
从
电路
出发的
HDL
代码
设计
》_初学
fpga
需要看什...
赞
踩
article
FPGA
(
Verilog
)
除法器
设计实现(
简易版
验证
代码
)_
verilog
除...
除法器
设计实现
代码
比较简单,需要的是大家要理解原理,我也是看了很多的教程,自己观看了其他作者的
代码
,然后自己写出的简易代...
赞
踩
article
SystemVerilog
学习(2)——
数据类型
_
systen
verilog
float
...
SystemVerilog
数据类型
说明
_
systen
verilog
float
systen
verilog
float
...
赞
踩
article
从传统
设计
到现代
设计
:
SystemVerilog
在RTL可综合
设计
中
的
优势
_
system
veril...
通过赋值 ‘1 , '0 , 'Z , 'X。来赋值全0、1、X、Z。枚举类型主要用在状态机状态变量
的
定义时。下面是各个...
赞
踩
article
verilog
学习笔记 —— 时序逻辑
Sequential
Logics
(
Latches
an...
5. DFF with asynchronous reset 带异步复位功能的 D
触发器
。18. Dual-e
d
ge t...
赞
踩
article
Verilog
Tutorial
(5)
使用
always
块实现时序
逻辑电路
_
verilog
alway...
Verilog
使用
always
块实现时序逻辑_
verilog
always
verilog
always
...
赞
踩
article
verilog
学习
笔记——
MULtiplexers
多路复用
器_41
多路复用
器
verilog
...
【代码】
verilog
学习
笔记——
MULtiplexers
多路复用
器。_41
多路复用
器
verilog
41
多路复用
器...
赞
踩
article
Verilog
HDL:
组合
逻辑
与
时序
逻辑
区别及实例_
组合
逻辑
计数器
verilog
...
组合
逻辑
和
时序
逻辑
是数字电路设计中的两个重要概念,对于FPGA开发来说尤为重要。
组合
逻辑
电路仅依赖于当前输入状态,而
时序
...
赞
踩
article
Verilog
Tutorial
(10)如何实现可
复用
的设计?_
fpga
计数器
复用
...
Verilog
Tutorial
(10)如何实现可
复用
的
Verilog
设计?_
fpga
计数器
复用
fpga
计数器
复用
...
赞
踩
article
【
Verilog
】
时序
逻辑
电路
--
程序设计
与应用_
verilog
时序
逻辑
...
波形图解释:由图可知,在第一个时钟上升沿到来时,输入端Q = 0输出端D = 0,并持续保持此状态;在第二个时钟上升沿到...
赞
踩
article
Verilog
-
时序电路
设计_
verilog
状态机
实现序列
信号
发生器
...
时序电路
设计状态图描述module checker(Z, X, elk); parameter s0 = 2'b00, ...
赞
踩
article
Verilog
Tutorial
(
Verilog
教程)...
This
Verilog
tutorial was started a long time ago. Every tim...
赞
踩
article
Verilog
Tutorial
(2)
数据类型
和
数组
简介_
verilog
数组
...
Verilog
数据类型
和
数组
简介_
verilog
数组
verilog
数组
写在前面 在自己...
赞
踩
article
Verilog
Tutorial
(1)
如何
编写
一个
基本的
Verilog
Module
(
模块
)...
如何
编写
一个
基本的
Verilog
Module
(
模块
)_verilog moduleverilog module ...
赞
踩
article
基于
FPGA
实现
CIC
升
采样
滤波器
verilog
HDL
代码总结_cic
采样
verilog
...
基于
FPGA
实现
CIC
升
采样
滤波器
verilog
HDL
代码总结一、概述二、设计说明三、代码实现1、顶层代码2、产生正弦...
赞
踩
article
【FPGA/
verilog
-入门学习
10
】
verilog
查表法实现
正弦
波
形发生器_
verilog
...
那么就意味着你会延时两个时钟周期
输出
数据,在Summary中也可查看。1,使用matlab 生成数据,制作sin_rom...
赞
踩
article
【
FPGA
】
Verilog
:
时序
电路设计 |
二进制
计数器
|
计数器
| 分频器 |
时序
约束_v...
【
FPGA
】
Verilog
:
时序
电路设计 |
二进制
计数器
|
计数器
| 分频器 |
时序
约束_
verilog
异步四位...
赞
踩
article
verilog
语言,
Vivado2018
计算机组成原理
实验
-
adder
(加法器)
模块
的编写_v...
实验
内容:1.
adder
(加法器)
模块
的编写。 2.建立完整的工程,工程中包括自己编写的
adder
模块
,
adder
_...
赞
踩
article
FPGA
Verilog
HDL 系列实例--------4位
二进制
加减法
计数器
_四位
二进制
同步加法...
Verilog
HDL 之 4位
二进制
加减法
计数器
一、原理
计数器
是数字系统中用的较多的基本逻辑器件。它不仅能记录输入...
赞
踩
article
FPGA
学习 阶段一:
Verilog
HDL
简介与基本
语法
(2)_在
verilog
hdl
中
,
如...
FPGA
学习 阶段一:
Verilog
HDL
简介与基本
语法
(2)1. 模块及其结构
Verilog
的基本设计单元是“模块...
赞
踩
相关标签
fpga开发
Verilog入门
Verilog
Xilinx
数字IC
fpga
altera
SystemVerilog
学习
笔记
IC
单片机
嵌入式硬件
FPGA