当前位置:   article > 正文

Matlab、Modelsim联合仿真--FPGA图像处理_matlab与modelsim联合仿真

matlab与modelsim联合仿真

Matlab、Modelsim联合仿真

学了一段时间FPGA后,个人觉得仿真是学习FPGA最应该具备能力,有很多时候仿真调试占整体开发时间的90%,剩下的10%是上机调试和解决其它问题的时间。在之前刚学习FPGA图像处理时主要是利用Matlab将图像生成TXT文件,然后通过串口助手将数据传到FPGA上,再通过VGA接口将图像显示出来。这种方式繁琐就不说了,主要是没有办法直观的观察图像数据的变化,只能通过显示屏来宏观上的验证,如果想要更改图片尺寸的时候一发而动全身,各个模块的参数都要修改。
经过一段时间的学习,发现有一个更加简单的方法——Matlab、Modelsim联合仿真,即使没有开发板也可以验证处理算法各个层次的正确与否。
在PC自带的画图,首先创建一张黑白各占50%的1024*1280的图片,命名test.jpg(如图1)保存到自己创建的文件夹中。
在这里插入图片描述
打开Matlab,新建一个脚本,命名为image_rgb.m保存到存放图片的文件夹,输入以下代码,并运行:
在这里插入图片描述
在文件夹中就会出现,rgb_data.txt这个文件,该文件就是前面的图像生成的16进制数据:
在这里插入图片描述
图像一共有1280x1024x3共3,932,160个数据,生成的数据与原图一致:
在这里插入图片描述

initial $readmemh("rgb_data.txt",gray_gaussian_mem); 
..............
repeat (3932160) begin
      @ (posedge clk);
      # 2;
      gray_data = gray_gaussian_mem[addr];
      gray_dataen = 1'b1;
      # 5
      addr = addr + 1'b1;
    end
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10

通过以上代码,即可将图像数据加载到Modelsim进行仿真。再通过以下代码将仿真产生的数据写到一个新的TXT文件:

initial fid = $fopen("filter_data.txt");
  
  always @ (posedge clk) begin
    if (filter_dataen == 1'b1)
      $fdisplay(fid, "%d", filter_data);
  end
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6

最后再回到Matlab,输入以下代码即可出图:

fid = fopen('filter_data.txt','r');
gray_data = fscanf(fid, '%d');
fclose(fid);
for i = 1 : ROW
   for j = 1 : COL
       pic_gray_fpga(i,j) = uint8(gray_data((i-1) * COL + j));
   end
end

figure('name','pic_gray_fpga'); imshow(pic_gray_fpga);
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10

以上便是一种简单的Modelsim联合Matlab的仿真方法。

声明
本人所写的文章主要是记录在学习FPGA图像处理过程中个人的理解,没有太大的营养,谨慎吸收!

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/一键难忘520/article/detail/740963
推荐阅读
相关标签
  

闽ICP备14008679号