当前位置:   article > 正文

流水线乘法器

流水线乘法器

描述

实现4bit无符号数流水线乘法器设计。

 电路的接口如下图所示。

 

653c0da6bae48e359fe4010d0e96bc92.png

 

 

输入描述:

    input                         clk         ,   
    input                         rst_n        ,
    input    [size-1:0]            mul_a        ,
    input    [size-1:0]            mul_b        

输出描述:

     output    reg    [size*2-1:0]    mul_out    

参考代码

  1. `timescale 1ns/1ns
  2. module multi_pipe#(
  3. parameter size = 4
  4. )(
  5. input clk ,
  6. input rst_n ,
  7. input [size-1:0] mul_a ,
  8. input [size-1:0] mul_b ,
  9. output reg [size*2-1:0] mul_out
  10. );
  11. //parameter
  12. parameter N = size * 2;
  13. //defination
  14. wire [N - 1 : 0] temp [0 : 3];
  15. reg [N - 1 : 0] adder_0;
  16. reg [N - 1 : 0] adder_1;
  17. //output
  18. genvar i;
  19. generate
  20. for(i = 0; i < 4; i = i + 1)begin : loop
  21. assign temp[i] = mul_b[i] ? mul_a << i : 'd0;
  22. end
  23. endgenerate
  24. always@(posedge clk or negedge rst_n)begin
  25. if(!rst_n) adder_0 <= 'd0;
  26. else adder_0 <= temp[0] + temp[1];
  27. end
  28. always@(posedge clk or negedge rst_n)begin
  29. if(!rst_n) adder_1 <= 'd0;
  30. else adder_1 <= temp[2] + temp[3];
  31. end
  32. always@(posedge clk or negedge rst_n)begin
  33. if(!rst_n) mul_out <= 'd0;
  34. else mul_out <= adder_0 + adder_1;
  35. end
  36. endmodule

 

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/人工智能uu/article/detail/821384
推荐阅读
相关标签
  

闽ICP备14008679号