当前位置:   article > 正文

ZYNQ使用AXI DMA(Scatter/Gather)模式进行PL与PS数据交互附源码(ps端移植freertos或者裸机)_axi dma pl向ps端传输数据

axi dma pl向ps端传输数据

简介

AXI DMA操作需要先提供一个在内存中驻留的不变空间,用于存储需要进行的DMA操作。形容这“每一次操作”的东西叫做Buffer Descriptor,缩写叫BD,这些BD是连接成链表的形式的,因为BD会动态增加,而预先分配存储BD的空间是恒定的,因此BD被连成一个环(BD Ring),其实就是一个循环链表

Scatter/Gather 允许一个数据包(Packet)由多个描述符(BD)来描述。官方文档指出的一个典型应用是在传输网络包时,Header和数据往往是分开存储的,利用SG模式可以较好的处理向多个目标读写的操作,提高应用吞吐量。 DB Ring中DB成链存放,为了解决环形结构带来的不知道Packet的起点和终点带来的问题,DMA使用了帧开始位 (TXSOF,TX Start of Frame bit) 和帧结束位 (TXEOF,TX End of Frame Bit)来分辨一段Packet。 当 DMA 获取设置了 TXSOF 位的描述符时,将触发Packet的开始。 Packet继续获取后续描述符,直到它获取一个设置了 TXEOF 位的描述符。

在接收 (S2MM) 通道上,当开始接收数据包时,AXI DMA会自动使用 RXSOF 标记描述符,告诉软件部分这个描述符对应的buffer是一个数据包的开头。 如果正在接收的数据包的总字节数比描述符中指定的长,则用下一个描述符接着传。 这种获取和存储过程一直持续到整个接收数据包被传输完毕。 接收到数据包结尾时正在处理的描述符由AXI DMA自动标记为RXEOF=1。表明与该描述符关联的缓冲区包含数据包的结尾。

每个描述符内部指明了该特定描述符实际传输的字节数。 软件可以通过从 RXSOF 描述符通过描述符链到 RXEOF 描述符来确定为接收数据包传输的总字节数。

Scatter Gather 操作从设置控制寄存器和描述符指针开始。

设置和启动 MM2S 通道的 DMA 具体操作如下:

  • 将起始描述符的位置写入Current Descriptor寄存器中
  • 设置运行/停止位为1(MM2S_DMACR.RS=1)启动MM2S运行
  • (可选)启用中断(MM2S_DMACR.IOC_IrqEn 和 MM2S_DMACR.Err_IrqEn)
  • 将末尾描述符位置写入Tail Descriptor寄存器中,写入后会立刻触发DMA获取描述符,如果是多通道,这一步骤会在数据包到达S2MM时开始
  • 处理当前描述符,从内存中读取数据并转化成Stream输出

S2MM通道的配置类似:

  • 将起始描述符的位置写入Current Descriptor寄存器中
  • 通过将运行/停止位设置为 1 (S2MM_DMACR.RS =1) 来启动 S2MM 通道运行,并且暂停位 (DMASR.Halted) 置低,指示 S2MM 通道正在运行
  • (可选)启用中断(MM2S_DMACR.IOC_IrqEn 和 MM2S_DMACR.Err_IrqEn)
  • 将有效地址写入尾部描述符寄存器,自动触发 DMA 从内存获取描述符
  • 处理获取的描述符,并将从 S2MM 流式通道接收到的任何数据写入内存

不说了理论知识去看其他up分享我们直接上配置教程

AXI DMA 配置

 AXI FIFO配置

 

 ZYNQ配置

 

 

 配置完成我们进行AXI Stream流接口ip核的设计

上源码    (封装IP核的技能自己学一下)

  1. module pl_write(
  2. input m_axis_aclk,
  3. input m_axis_aresetn,
  4. input [63:0] pl_data ,
  5. input data_en ,
  6. input m_axis_tready,
  7. output reg [31:0] m_axis_tdata,
  8. output [3:0] m_axis_tkeep,
  9. output m_axis_tlast,v
  10. output reg m_axis_tvalid
  11. );
  12. parameter DATA_0 = 4'b0000,
  13. DATA_1 = 4'b0001,
  14. DATA_2 = 4'b0011,
  15. DATA_3 = 4'b0010,
  16. DATA_4 = 4'b0110,
  17. DATA_5 = 4'b0111,
  18. DATA_6 = 4'b0101,
  19. DATA_7 = 4'b0100,
  20. DATA_8 = 4'b1100,
  21. DATA_9 = 4'b1101;
  22. reg data_en_1;
  23. reg [63:0]pl_data_1;
  24. reg [3:0] next_flag;
  25. assign m_axis_tkeep = 4'b1111;
  26. assign m_axis_tlast = (next_flag==DATA_9)&&m_axis_tready&&m_axis_tvalid;
  27. always @(posedge m_axis_aclk) begin
  28. if(m_axis_aresetn == 1'b0)begin
  29. data_en_1<=1'b0;
  30. pl_data_1<=64'd0;
  31. end
  32. else if(m_axis_tready) begin
  33. data_en_1<=data_en;
  34. pl_data_1<=pl_data;
  35. end
  36. end
  37. always@(posedge m_axis_aclk)begin
  38. if(m_axis_aresetn == 1'b0)begin
  39. next_flag<=DATA_0;
  40. m_axis_tdata <= 32'd0;
  41. m_axis_tvalid<=1'b0;
  42. end
  43. else if(data_en_1) begin
  44. m_axis_tvalid<=1'b1;
  45. case (next_flag)
  46. DATA_0 : begin
  47. if(m_axis_tready)begin
  48. next_flag<=DATA_1;
  49. m_axis_tdata<={32'h22111111};
  50. end else begin
  51. m_axis_tdata <= m_axis_tdata;
  52. next_flag<=DATA_0;
  53. end
  54. end
  55. DATA_1 :begin
  56. if(m_axis_tready)begin
  57. m_axis_tdata<={32'h22111111};
  58. next_flag<=DATA_2;
  59. end else begin
  60. m_axis_tdata <= m_axis_tdata;
  61. next_flag<=DATA_1;
  62. end
  63. end
  64. DATA_2 :begin
  65. if(m_axis_tready)begin
  66. m_axis_tdata<={32'h22111111};
  67. next_flag<=DATA_3;
  68. end else begin
  69. m_axis_tdata <= m_axis_tdata;
  70. next_flag<=DATA_2;
  71. end
  72. end
  73. DATA_3 :begin
  74. if(m_axis_tready)begin
  75. m_axis_tdata<={32'h22111111};
  76. next_flag<=DATA_4;
  77. end else begin
  78. m_axis_tdata <= m_axis_tdata;
  79. next_flag<=DATA_3;
  80. end
  81. end
  82. DATA_4 : begin
  83. if(m_axis_tready)begin
  84. m_axis_tdata<={8'd01,8'd12,pl_data_1[15:0]};
  85. next_flag<=DATA_5;
  86. end else begin
  87. m_axis_tdata <= m_axis_tdata;
  88. next_flag<=DATA_4;
  89. end
  90. end
  91. DATA_5 :begin
  92. if(m_axis_tready)begin
  93. m_axis_tdata<={8'd02,8'd12,pl_data_1[31:16]};
  94. next_flag<=DATA_6;
  95. end else begin
  96. m_axis_tdata <= m_axis_tdata;
  97. next_flag<=DATA_5;
  98. end
  99. end
  100. DATA_6 :begin
  101. if(m_axis_tready)begin
  102. m_axis_tdata<={8'd03,8'd12,pl_data_1[47:32]};
  103. next_flag<=DATA_7;
  104. end else begin
  105. m_axis_tdata <= m_axis_tdata;
  106. next_flag<=DATA_6;
  107. end
  108. end
  109. DATA_7 :begin
  110. if(m_axis_tready)begin
  111. m_axis_tdata<={8'd04,8'd12,8'd0,pl_data_1[55:48]};
  112. next_flag<=DATA_8;
  113. end else begin
  114. m_axis_tdata <= m_axis_tdata;
  115. next_flag<=DATA_7;
  116. end
  117. end
  118. DATA_8 :begin
  119. if(m_axis_tready)begin
  120. m_axis_tdata<={8'd05,8'd12,8'd0,pl_data_1[63:56]};
  121. next_flag<=DATA_9;
  122. end else begin
  123. m_axis_tdata <= m_axis_tdata;
  124. next_flag<=DATA_8;
  125. end
  126. end
  127. DATA_9 :begin
  128. if(m_axis_tready)begin
  129. m_axis_tdata<={32'hffffffff};
  130. next_flag<=DATA_0;
  131. end else begin
  132. m_axis_tdata <= m_axis_tdata;
  133. next_flag<=DATA_9;
  134. end
  135. end
  136. default:begin
  137. next_flag<=DATA_0;
  138. m_axis_tdata <= m_axis_tdata;
  139. end
  140. endcase
  141. end
  142. else begin
  143. m_axis_tvalid<=1'b0;
  144. end
  145. end
  146. endmodule

 数据发送IP核根据自己需求创建了

上ps端代码

注意:每一个bd可存储4字节的数据 bd串联为一个环形成一个数据包packet

// 要传输的每个packet大小
#define MAX_PKT_LEN        0x4   //缓冲个数 字节
#define MARK_UNCACHEABLE        0x701
// 每个packet对应的BD数量
#define NUMBER_OF_BDS_PER_PKT        11//一个bd 4字节
// 一共要传输的packet个数
#define NUMBER_OF_PKTS_TO_TRANSFER     1

此代码为接收代码

  1. #include "xaxidma.h"
  2. #include "xparameters.h"
  3. #include "xil_exception.h"
  4. #include "xdebug.h"
  5. #include "xscugic.h"
  6. #include "xaxidma_hw.h"
  7. #define DMA_DEV_ID XPAR_AXIDMA_0_DEVICE_ID
  8. #define MEM_BASE_ADDR 0x01100000 //ddr地址
  9. #define RX_INTR_ID XPAR_FABRIC_AXIDMA_0_S2MM_INTROUT_VEC_ID
  10. #define RX_BD_SPACE_BASE (MEM_BASE_ADDR)
  11. #define RX_BD_SPACE_HIGH (MEM_BASE_ADDR + 0x0000FFFF)
  12. #define RX_BUFFER_BASE (MEM_BASE_ADDR + 0x00300000)
  13. #define RX_BUFFER_HIGH (MEM_BASE_ADDR + 0x00300FFF)
  14. #define INTC_DEVICE_ID XPAR_SCUGIC_SINGLE_DEVICE_ID
  15. //超时计数
  16. #define RESET_TIMEOUT_COUNTER 10000
  17. // 要传输的每个packet大小
  18. #define MAX_PKT_LEN 0x4 //缓冲个数 字节
  19. #define MARK_UNCACHEABLE 0x701
  20. // 每个packet对应的BD数量
  21. #define NUMBER_OF_BDS_PER_PKT 11//一个bd 4字节
  22. // 一共要传输的packet个数
  23. #define NUMBER_OF_PKTS_TO_TRANSFER 1
  24. // 总共需要的BD总数
  25. #define NUMBER_OF_BDS_TO_TRANSFER (NUMBER_OF_PKTS_TO_TRANSFER * \
  26. NUMBER_OF_BDS_PER_PKT)
  27. //中断合并阈值和延迟定时器阈值我们将合并阈值设置为包的总数。在这个例子中,接收端只会得到一个完成中断。
  28. #define COALESCING_COUNT NUMBER_OF_PKTS_TO_TRANSFER
  29. #define DELAY_TIMER_COUNT 100
  30. #define INTC XScuGic
  31. #define INTC_HANDLER XScuGic_InterruptHandler
  32. //函数申明
  33. int CheckData(int Length);
  34. void RxCallBack(XAxiDma_BdRing * RxRingPtr);
  35. void RxIntrHandler(void *Callback);
  36. int SetupIntrSystem(INTC * IntcInstancePtr,XAxiDma * AxiDmaPtr, u16 RxIntrId);
  37. void DisableIntrSystem(INTC * IntcInstancePtr, u16 RxIntrId);
  38. int RxSetup(XAxiDma * AxiDmaInstPtr);
  39. //设备实例
  40. XAxiDma AxiDma;
  41. //中断控制器实例
  42. static INTC Intc;
  43. //中断标志
  44. volatile int RxDone=0;
  45. volatile int Error=0;
  46. int main(void)
  47. {
  48. int Status;
  49. XAxiDma_Config *Config;
  50. xil_printf("\r\n--- Entering strart --- \r\n");
  51. //查找设备配置信息
  52. Config = XAxiDma_LookupConfig(DMA_DEV_ID);
  53. if (!Config) {
  54. xil_printf("No config found for %d\r\n", DMA_DEV_ID);
  55. return XST_FAILURE;
  56. }
  57. //初始化DMA引擎
  58. XAxiDma_CfgInitialize(&AxiDma, Config);
  59. if(!XAxiDma_HasSg(&AxiDma)) {
  60. xil_printf("Device configured as Simple mode \r\n");
  61. return XST_FAILURE;
  62. }
  63. //设置接收通道,以使数据准备好接收
  64. Status = RxSetup(&AxiDma);
  65. if (Status != XST_SUCCESS) {
  66. xil_printf("Failed RX setup\r\n");
  67. return XST_FAILURE;
  68. }
  69. //设置中断
  70. Status = SetupIntrSystem(&Intc, &AxiDma, RX_INTR_ID);
  71. if (Status != XST_SUCCESS) {
  72. xil_printf("Failed intr setup\r\n");
  73. return XST_FAILURE;
  74. }
  75. return XST_SUCCESS;
  76. }
  77. //数据比对
  78. int CheckData(int Length)
  79. {
  80. u8 *RxPacket;
  81. int Index = 0;
  82. Xil_DCacheFlushRange((UINTPTR)RX_BUFFER_BASE, MAX_PKT_LEN *NUMBER_OF_BDS_TO_TRANSFER);
  83. RxPacket = (u8 *) RX_BUFFER_BASE;
  84. //使指定地址缓存区失效
  85. Xil_DCacheInvalidateRange((UINTPTR)RxPacket, Length);
  86. for(Index = 0; Index < Length; Index+=4) {
  87. xil_printf("Data %d: %x %x %x %x\r\n", Index / 4, RxPacket[Index + 3], RxPacket[Index + 2], RxPacket[Index + 1], RxPacket[Index + 0]);
  88. }
  89. RxDone=0;
  90. RxSetup(&AxiDma);
  91. XAxiDma_IntrAckIrq(&AxiDma,XAXIDMA_IRQ_IOC_MASK,XAXIDMA_DEVICE_TO_DMA);
  92. return XST_SUCCESS;
  93. }
  94. void RxCallBack(XAxiDma_BdRing * RxRingPtr)
  95. {
  96. int BdCount;
  97. XAxiDma_Bd *BdPtr;
  98. XAxiDma_Bd *BdCurPtr;
  99. u32 BdSts;
  100. int Index;
  101. xil_printf("enter RxCallBack\r\n");
  102. //获取所有已处理bd
  103. BdCount = XAxiDma_BdRingFromHw(RxRingPtr, XAXIDMA_ALL_BDS, &BdPtr);
  104. //处理bd
  105. BdCurPtr = BdPtr;
  106. for (Index = 0; Index < BdCount; Index++) {
  107. //检查bd状态并判断是否有错误
  108. BdSts = XAxiDma_BdGetSts(BdCurPtr);
  109. if ((BdSts & XAXIDMA_BD_STS_ALL_ERR_MASK) ||
  110. (!(BdSts & XAXIDMA_BD_STS_COMPLETE_MASK))) {
  111. Error = 1;
  112. break;
  113. }
  114. //查找下一个处理的bd
  115. BdCurPtr = (XAxiDma_Bd *)XAxiDma_BdRingNext(RxRingPtr, BdCurPtr);
  116. RxDone += 1;
  117. }
  118. CheckData(RxDone);
  119. }
  120. void RxIntrHandler(void *Callback)
  121. {
  122. XAxiDma_BdRing *RxRingPtr = (XAxiDma_BdRing *) Callback;
  123. u32 IrqStatus;
  124. int TimeOut;
  125. /* Read pending interrupts */
  126. IrqStatus = XAxiDma_BdRingGetIrq(RxRingPtr);
  127. /* Acknowledge pending interrupts */
  128. XAxiDma_BdRingAckIrq(RxRingPtr, IrqStatus);
  129. if (!(IrqStatus & XAXIDMA_IRQ_ALL_MASK)) {return;}
  130. if ((IrqStatus & XAXIDMA_IRQ_ERROR_MASK)) {
  131. XAxiDma_BdRingDumpRegs(RxRingPtr);
  132. Error = 1;
  133. XAxiDma_Reset(&AxiDma);
  134. TimeOut = RESET_TIMEOUT_COUNTER;
  135. while (TimeOut) {
  136. if(XAxiDma_ResetIsDone(&AxiDma)) {break;}
  137. TimeOut -= 1;
  138. }
  139. return;
  140. }
  141. //调用回调
  142. if ((IrqStatus & (XAXIDMA_IRQ_DELAY_MASK | XAXIDMA_IRQ_IOC_MASK))) {
  143. xil_printf("Generate rx interrupt\r\n");
  144. RxCallBack(RxRingPtr);
  145. }
  146. }
  147. //初始化中断
  148. int SetupIntrSystem(INTC * IntcInstancePtr,XAxiDma * AxiDmaPtr, u16 RxIntrId)
  149. {
  150. //获取发送/接收 环
  151. XAxiDma_BdRing *RxRingPtr = XAxiDma_GetRxRing(AxiDmaPtr);
  152. int Status;
  153. XScuGic_Config *IntcConfig;
  154. //查找中断控制器信息
  155. IntcConfig = XScuGic_LookupConfig(INTC_DEVICE_ID);
  156. if (NULL == IntcConfig) {
  157. return XST_FAILURE;
  158. }
  159. //初始化中断控制器
  160. Status = XScuGic_CfgInitialize(IntcInstancePtr, IntcConfig,
  161. IntcConfig->CpuBaseAddress);
  162. if (Status != XST_SUCCESS) {
  163. return XST_FAILURE;
  164. }
  165. //设置中断优先级
  166. XScuGic_SetPriorityTriggerType(IntcInstancePtr, RxIntrId, 0xA0, 0x3);
  167. //绑定中断处理函数
  168. Status = XScuGic_Connect(IntcInstancePtr, RxIntrId,
  169. (Xil_InterruptHandler)RxIntrHandler,
  170. RxRingPtr);
  171. if (Status != XST_SUCCESS) {
  172. return Status;
  173. }
  174. //启动中断源
  175. XScuGic_Enable(IntcInstancePtr, RxIntrId);
  176. //启动硬件中断
  177. Xil_ExceptionInit();
  178. //绑定中断异常处理函数
  179. Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
  180. (Xil_ExceptionHandler)INTC_HANDLER,
  181. (void *)IntcInstancePtr);
  182. //启用IRQ异常
  183. Xil_ExceptionEnable();
  184. return XST_SUCCESS;
  185. }
  186. //禁用dma中断
  187. void DisableIntrSystem(INTC * IntcInstancePtr, u16 RxIntrId)
  188. {
  189. XScuGic_Disconnect(IntcInstancePtr, RxIntrId);
  190. }
  191. //设置读取通道
  192. int RxSetup(XAxiDma * AxiDmaInstPtr)
  193. {
  194. XAxiDma_BdRing *RxRingPtr;
  195. int Status;
  196. XAxiDma_Bd BdTemplate;
  197. XAxiDma_Bd *BdPtr;
  198. XAxiDma_Bd *BdCurPtr;
  199. int BdCount;
  200. int FreeBdCount;
  201. UINTPTR RxBufferPtr;
  202. int Index;
  203. //获取接收环
  204. RxRingPtr = XAxiDma_GetRxRing(&AxiDma);
  205. //设置空间之前禁用所有读取中断
  206. XAxiDma_BdRingIntDisable(RxRingPtr, XAXIDMA_IRQ_ALL_MASK);
  207. //设置bd空间
  208. BdCount = XAxiDma_BdRingCntCalc(XAXIDMA_BD_MINIMUM_ALIGNMENT,
  209. RX_BD_SPACE_HIGH - RX_BD_SPACE_BASE + 1);
  210. //创建bd环
  211. Status = XAxiDma_BdRingCreate(RxRingPtr, RX_BD_SPACE_BASE,RX_BD_SPACE_BASE,
  212. XAXIDMA_BD_MINIMUM_ALIGNMENT, BdCount);
  213. if (Status != XST_SUCCESS) {
  214. xil_printf("Rx bd create failed with %d\r\n", Status);
  215. return XST_FAILURE;
  216. }
  217. //为Rx通道设置BD模板。然后复制到每个RX BD。
  218. //bd归零
  219. XAxiDma_BdClear(&BdTemplate);
  220. //复制模板到创建的bd 模板为16个4字节数据uint32_t类型
  221. Status = XAxiDma_BdRingClone(RxRingPtr, &BdTemplate);
  222. if (Status != XST_SUCCESS) {
  223. xil_printf("Rx bd clone failed with %d\r\n", Status);
  224. return XST_FAILURE;
  225. }
  226. //在读取bd环上加上缓冲区以便读取数据
  227. FreeBdCount = XAxiDma_BdRingGetFreeCnt(RxRingPtr);
  228. Status = XAxiDma_BdRingAlloc(RxRingPtr, FreeBdCount, &BdPtr);
  229. if (Status != XST_SUCCESS) {
  230. xil_printf("Rx bd alloc failed with %d\r\n", Status);
  231. return XST_FAILURE;
  232. }
  233. BdCurPtr = BdPtr;
  234. RxBufferPtr = RX_BUFFER_BASE;
  235. for (Index = 0; Index < FreeBdCount; Index++) {
  236. //设置bd缓冲地址
  237. Status = XAxiDma_BdSetBufAddr(BdCurPtr, RxBufferPtr);
  238. if (Status != XST_SUCCESS) {
  239. xil_printf("Rx set buffer addr %x on BD %x failed %d\r\n",
  240. (unsigned int)RxBufferPtr,
  241. (UINTPTR)BdCurPtr, Status);
  242. return XST_FAILURE;
  243. }
  244. //为给定的bd设置子段长度
  245. Status = XAxiDma_BdSetLength(BdCurPtr, MAX_PKT_LEN,
  246. RxRingPtr->MaxTransferLen);
  247. if (Status != XST_SUCCESS) {
  248. xil_printf("Rx set length %d on BD %x failed %d\r\n",
  249. MAX_PKT_LEN, (UINTPTR)BdCurPtr, Status);
  250. return XST_FAILURE;
  251. }
  252. //接收BDs不需要设置任何控件硬件会设置每个流的SOF/EOF位
  253. //设置bd控制位
  254. XAxiDma_BdSetCtrl(BdCurPtr, 0);
  255. //设置bd的id
  256. XAxiDma_BdSetId(BdCurPtr, RxBufferPtr);
  257. RxBufferPtr += MAX_PKT_LEN;
  258. BdCurPtr = (XAxiDma_Bd *)XAxiDma_BdRingNext(RxRingPtr, BdCurPtr);
  259. }
  260. //设置合并阈值,因此只有一个接收中断在本例中出现如果你想有多个中断发生,改变 COALESCING_COUNT是一个较小的值
  261. //为给定的描述符环形通道设置中断合并参数。
  262. Status = XAxiDma_BdRingSetCoalesce(RxRingPtr, COALESCING_COUNT,DELAY_TIMER_COUNT);
  263. if (Status != XST_SUCCESS) {
  264. xil_printf("Rx set coalesce failed with %d\r\n", Status);
  265. return XST_FAILURE;
  266. }
  267. //将一组bd加入到分配的硬件中
  268. Status = XAxiDma_BdRingToHw(RxRingPtr, FreeBdCount, BdPtr);
  269. if (Status != XST_SUCCESS) {
  270. xil_printf("Rx ToHw failed with %d\r\n", Status);
  271. return XST_FAILURE;
  272. }
  273. //使能所有读取中断
  274. XAxiDma_BdRingIntEnable(RxRingPtr, XAXIDMA_IRQ_ALL_MASK);
  275. //启动读取dma通道
  276. Status = XAxiDma_BdRingStart(RxRingPtr);
  277. if (Status != XST_SUCCESS) {
  278. xil_printf("Rx start BD ring failed with %d\r\n", Status);
  279. return XST_FAILURE;
  280. }
  281. return XST_SUCCESS;
  282. }

此代码为回环 DMA需要勾选发送和接收端口并直接将zynq接收和发送端连接起来形成回环就可以了

  1. #include "xaxidma.h"
  2. #include "xparameters.h"
  3. #include "xil_exception.h"
  4. #include "xdebug.h"
  5. #include "xscugic.h"
  6. #define DMA_DEV_ID XPAR_AXIDMA_0_DEVICE_ID
  7. #define MEM_BASE_ADDR 0x01100000 //ddr地址
  8. #define RX_INTR_ID XPAR_FABRIC_AXIDMA_0_S2MM_INTROUT_VEC_ID
  9. #define TX_INTR_ID XPAR_FABRIC_AXIDMA_0_MM2S_INTROUT_VEC_ID
  10. #define RX_BD_SPACE_BASE (MEM_BASE_ADDR)
  11. #define RX_BD_SPACE_HIGH (MEM_BASE_ADDR + 0x0000FFFF)
  12. #define TX_BD_SPACE_BASE (MEM_BASE_ADDR + 0x00010000)
  13. #define TX_BD_SPACE_HIGH (MEM_BASE_ADDR + 0x0001FFFF)
  14. #define TX_BUFFER_BASE (MEM_BASE_ADDR + 0x00100000)
  15. #define RX_BUFFER_BASE (MEM_BASE_ADDR + 0x00300000)
  16. #define RX_BUFFER_HIGH (MEM_BASE_ADDR + 0x004FFFFF)
  17. #define INTC_DEVICE_ID XPAR_SCUGIC_SINGLE_DEVICE_ID
  18. //超时计数
  19. #define RESET_TIMEOUT_COUNTER 10000
  20. // 要传输的每个packet大小
  21. #define MAX_PKT_LEN 0x100 //缓冲个数
  22. #define MARK_UNCACHEABLE 0x701
  23. // 每个packet对应的BD数量
  24. #define NUMBER_OF_BDS_PER_PKT 12
  25. // 一共要传输的packet个数
  26. #define NUMBER_OF_PKTS_TO_TRANSFER 11
  27. // 总共需要的BD总数
  28. #define NUMBER_OF_BDS_TO_TRANSFER (NUMBER_OF_PKTS_TO_TRANSFER * \
  29. NUMBER_OF_BDS_PER_PKT)
  30. /*中断合并阈值和延迟定时器阈值
  31. *有效范围为1到255
  32. *我们将合并阈值设置为包的总数。在这个例子中,接收端只会得到一个完成中断。
  33. */
  34. #define COALESCING_COUNT NUMBER_OF_PKTS_TO_TRANSFER
  35. #define DELAY_TIMER_COUNT 100
  36. #define INTC XScuGic
  37. #define INTC_HANDLER XScuGic_InterruptHandler
  38. //函数申明
  39. static int CheckData(int Length, u8 StartValue);
  40. static void TxCallBack(XAxiDma_BdRing * TxRingPtr);
  41. static void TxIntrHandler(void *Callback);
  42. static void RxCallBack(XAxiDma_BdRing * RxRingPtr);
  43. static void RxIntrHandler(void *Callback);
  44. static int SetupIntrSystem(INTC * IntcInstancePtr,
  45. XAxiDma * AxiDmaPtr, u16 TxIntrId, u16 RxIntrId);
  46. static void DisableIntrSystem(INTC * IntcInstancePtr,
  47. u16 TxIntrId, u16 RxIntrId);
  48. static int RxSetup(XAxiDma * AxiDmaInstPtr);
  49. static int TxSetup(XAxiDma * AxiDmaInstPtr);
  50. static int SendPacket(XAxiDma * AxiDmaInstPtr);
  51. //设备实例
  52. XAxiDma AxiDma;
  53. //中断控制器实例
  54. static INTC Intc;
  55. //中断标志
  56. volatile int TxDone;
  57. volatile int RxDone;
  58. volatile int Error;
  59. //发送数据包缓冲区,必须32位对齐使用
  60. u32 *Packet = (u32 *) TX_BUFFER_BASE;
  61. int main(void)
  62. {
  63. int Status;
  64. XAxiDma_Config *Config;
  65. xil_printf("\r\n--- Entering strart --- \r\n");
  66. //查找设备配置信息
  67. Config = XAxiDma_LookupConfig(DMA_DEV_ID);
  68. if (!Config) {
  69. xil_printf("No config found for %d\r\n", DMA_DEV_ID);
  70. return XST_FAILURE;
  71. }
  72. //初始化DMA引擎
  73. XAxiDma_CfgInitialize(&AxiDma, Config);
  74. if(!XAxiDma_HasSg(&AxiDma)) {
  75. xil_printf("Device configured as Simple mode \r\n");
  76. return XST_FAILURE;
  77. }
  78. //设置发送通道,以使数据准备好发送
  79. Status = TxSetup(&AxiDma);
  80. if (Status != XST_SUCCESS) {
  81. xil_printf("Failed TX setup\r\n");
  82. return XST_FAILURE;
  83. }
  84. //设置接收通道,以使数据准备好接收
  85. Status = RxSetup(&AxiDma);
  86. if (Status != XST_SUCCESS) {
  87. xil_printf("Failed RX setup\r\n");
  88. return XST_FAILURE;
  89. }
  90. //设置中断
  91. Status = SetupIntrSystem(&Intc, &AxiDma, TX_INTR_ID, RX_INTR_ID);
  92. if (Status != XST_SUCCESS) {
  93. xil_printf("Failed intr setup\r\n");
  94. return XST_FAILURE;
  95. }
  96. //初始化标志信号
  97. TxDone = 0;
  98. RxDone = 0;
  99. Error = 0;
  100. //发送数据
  101. Status = SendPacket(&AxiDma);
  102. if (Status != XST_SUCCESS) {
  103. xil_printf("Failed send packet\r\n");
  104. return XST_FAILURE;
  105. }
  106. //检查发送接收是否完成
  107. while (((TxDone < NUMBER_OF_BDS_TO_TRANSFER) ||
  108. (RxDone < NUMBER_OF_BDS_TO_TRANSFER)) && !Error) {}
  109. if (Error) {
  110. xil_printf("Failed test transmit%s done, "
  111. "receive%s done\r\n", TxDone? "":" not",
  112. RxDone? "":" not");
  113. goto Done;
  114. }else {
  115. //数据比对
  116. Status = CheckData(MAX_PKT_LEN * NUMBER_OF_BDS_TO_TRANSFER,0xC);
  117. if (Status != XST_SUCCESS) {
  118. xil_printf("Data check failed\r\n");
  119. goto Done;
  120. }
  121. xil_printf("Successfully ran AXI DMA SG interrupt Example\r\n");
  122. }
  123. //关闭发送、接收中断
  124. DisableIntrSystem(&Intc, TX_INTR_ID, RX_INTR_ID);
  125. Done:
  126. xil_printf("--- Exiting end --- \r\n");
  127. if (Status != XST_SUCCESS) {
  128. return XST_FAILURE;
  129. }
  130. return XST_SUCCESS;
  131. }
  132. //数据比对
  133. static int CheckData(int Length, u8 StartValue)
  134. {
  135. u8 *RxPacket;
  136. int Index = 0;
  137. u8 Value;
  138. RxPacket = (u8 *) RX_BUFFER_BASE;
  139. Value = StartValue;
  140. //使指定地址缓存区失效
  141. Xil_DCacheInvalidateRange((UINTPTR)RxPacket, Length);
  142. for(Index = 0; Index < Length; Index++) {
  143. if (RxPacket[Index] != Value) {
  144. xil_printf("Data error %d: %x/%x\r\n",
  145. Index, RxPacket[Index], Value);
  146. return XST_FAILURE;
  147. }
  148. Value = (Value + 1) & 0xFF;
  149. }
  150. return XST_SUCCESS;
  151. }
  152. //发送回调函数
  153. static void TxCallBack(XAxiDma_BdRing * TxRingPtr)
  154. {
  155. int BdCount;
  156. u32 BdSts;
  157. XAxiDma_Bd *BdPtr;
  158. XAxiDma_Bd *BdCurPtr;
  159. int Status;
  160. int Index;
  161. xil_printf("enter TxCallBack\r\n");
  162. //获取所有已处理bd
  163. BdCount = XAxiDma_BdRingFromHw(TxRingPtr, XAXIDMA_ALL_BDS, &BdPtr);
  164. //处理bd
  165. BdCurPtr = BdPtr;
  166. for (Index = 0; Index < BdCount; Index++) {
  167. //检查bd状态并判断是否有错误
  168. BdSts = XAxiDma_BdGetSts(BdCurPtr);
  169. if ((BdSts & XAXIDMA_BD_STS_ALL_ERR_MASK) ||
  170. (!(BdSts & XAXIDMA_BD_STS_COMPLETE_MASK))) {
  171. Error = 1;
  172. break;
  173. }
  174. //这里我们什么都不需要做。但是如果RTOS是使用时,我们可能需要释放附加的数据包缓冲区处理过的BD
  175. /* NOP */
  176. //查找下一个处理的bd
  177. BdCurPtr = (XAxiDma_Bd *)XAxiDma_BdRingNext(TxRingPtr, BdCurPtr);
  178. }
  179. //释放所有bd内存以便下一次使用
  180. Status = XAxiDma_BdRingFree(TxRingPtr, BdCount, BdPtr);
  181. if (Status != XST_SUCCESS) {
  182. Error = 1;
  183. }
  184. if(!Error) {
  185. //没有错误返回已处理bd个数
  186. TxDone += BdCount;
  187. }
  188. }
  189. //发送中断函数
  190. static void TxIntrHandler(void *Callback)
  191. {
  192. XAxiDma_BdRing *TxRingPtr = (XAxiDma_BdRing *) Callback;
  193. u32 IrqStatus;
  194. int TimeOut;
  195. //读取挂起中断
  196. IrqStatus = XAxiDma_BdRingGetIrq(TxRingPtr);
  197. //确认挂起中断
  198. XAxiDma_BdRingAckIrq(TxRingPtr, IrqStatus);
  199. if (!(IrqStatus & XAXIDMA_IRQ_ALL_MASK)) {
  200. return;
  201. }
  202. if ((IrqStatus & XAXIDMA_IRQ_ERROR_MASK)) {
  203. XAxiDma_BdRingDumpRegs(TxRingPtr);
  204. Error = 1;
  205. //复位
  206. XAxiDma_Reset(&AxiDma);
  207. TimeOut = RESET_TIMEOUT_COUNTER;
  208. while (TimeOut) {
  209. if (XAxiDma_ResetIsDone(&AxiDma)) {break;}
  210. TimeOut -= 1;
  211. }
  212. return;
  213. }
  214. //无错误调用发送回调函数
  215. if ((IrqStatus & (XAXIDMA_IRQ_DELAY_MASK | XAXIDMA_IRQ_IOC_MASK))) {
  216. xil_printf("Generate tx interrupt\r\n");
  217. TxCallBack(TxRingPtr);
  218. }
  219. }
  220. static void RxCallBack(XAxiDma_BdRing * RxRingPtr)
  221. {
  222. int BdCount;
  223. XAxiDma_Bd *BdPtr;
  224. XAxiDma_Bd *BdCurPtr;
  225. u32 BdSts;
  226. int Index;
  227. xil_printf("enter RxCallBack\r\n");
  228. //获取所有已处理bd
  229. BdCount = XAxiDma_BdRingFromHw(RxRingPtr, XAXIDMA_ALL_BDS, &BdPtr);
  230. //处理bd
  231. BdCurPtr = BdPtr;
  232. for (Index = 0; Index < BdCount; Index++) {
  233. //检查bd状态并判断是否有错误
  234. BdSts = XAxiDma_BdGetSts(BdCurPtr);
  235. if ((BdSts & XAXIDMA_BD_STS_ALL_ERR_MASK) ||
  236. (!(BdSts & XAXIDMA_BD_STS_COMPLETE_MASK))) {
  237. Error = 1;
  238. break;
  239. }
  240. //查找下一个处理的bd
  241. BdCurPtr = (XAxiDma_Bd *)XAxiDma_BdRingNext(RxRingPtr, BdCurPtr);
  242. RxDone += 1;
  243. }
  244. }
  245. static void RxIntrHandler(void *Callback)
  246. {
  247. XAxiDma_BdRing *RxRingPtr = (XAxiDma_BdRing *) Callback;
  248. u32 IrqStatus;
  249. int TimeOut;
  250. /* Read pending interrupts */
  251. IrqStatus = XAxiDma_BdRingGetIrq(RxRingPtr);
  252. /* Acknowledge pending interrupts */
  253. XAxiDma_BdRingAckIrq(RxRingPtr, IrqStatus);
  254. if (!(IrqStatus & XAXIDMA_IRQ_ALL_MASK)) {return;}
  255. if ((IrqStatus & XAXIDMA_IRQ_ERROR_MASK)) {
  256. XAxiDma_BdRingDumpRegs(RxRingPtr);
  257. Error = 1;
  258. XAxiDma_Reset(&AxiDma);
  259. TimeOut = RESET_TIMEOUT_COUNTER;
  260. while (TimeOut) {
  261. if(XAxiDma_ResetIsDone(&AxiDma)) {break;}
  262. TimeOut -= 1;
  263. }
  264. return;
  265. }
  266. //调用回调
  267. if ((IrqStatus & (XAXIDMA_IRQ_DELAY_MASK | XAXIDMA_IRQ_IOC_MASK))) {
  268. xil_printf("Generate rx interrupt\r\n");
  269. RxCallBack(RxRingPtr);
  270. }
  271. }
  272. //初始化中断
  273. static int SetupIntrSystem(INTC * IntcInstancePtr,
  274. XAxiDma * AxiDmaPtr, u16 TxIntrId, u16 RxIntrId)
  275. {
  276. //获取发送/接收 环
  277. XAxiDma_BdRing *TxRingPtr = XAxiDma_GetTxRing(AxiDmaPtr);
  278. XAxiDma_BdRing *RxRingPtr = XAxiDma_GetRxRing(AxiDmaPtr);
  279. int Status;
  280. XScuGic_Config *IntcConfig;
  281. //查找中断控制器信息
  282. IntcConfig = XScuGic_LookupConfig(INTC_DEVICE_ID);
  283. if (NULL == IntcConfig) {
  284. return XST_FAILURE;
  285. }
  286. //初始化中断控制器
  287. Status = XScuGic_CfgInitialize(IntcInstancePtr, IntcConfig,
  288. IntcConfig->CpuBaseAddress);
  289. if (Status != XST_SUCCESS) {
  290. return XST_FAILURE;
  291. }
  292. //设置中断优先级
  293. XScuGic_SetPriorityTriggerType(IntcInstancePtr, TxIntrId, 0xA0, 0x3);
  294. XScuGic_SetPriorityTriggerType(IntcInstancePtr, RxIntrId, 0xA0, 0x3);
  295. //绑定中断处理函数
  296. Status = XScuGic_Connect(IntcInstancePtr, TxIntrId,
  297. (Xil_InterruptHandler)TxIntrHandler,
  298. TxRingPtr);
  299. if (Status != XST_SUCCESS) {
  300. return Status;
  301. }
  302. Status = XScuGic_Connect(IntcInstancePtr, RxIntrId,
  303. (Xil_InterruptHandler)RxIntrHandler,
  304. RxRingPtr);
  305. if (Status != XST_SUCCESS) {
  306. return Status;
  307. }
  308. //启动中断源
  309. XScuGic_Enable(IntcInstancePtr, TxIntrId);
  310. XScuGic_Enable(IntcInstancePtr, RxIntrId);
  311. //启动硬件中断
  312. Xil_ExceptionInit();
  313. //绑定中断异常处理函数
  314. Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
  315. (Xil_ExceptionHandler)INTC_HANDLER,
  316. (void *)IntcInstancePtr);
  317. //启用IRQ异常
  318. Xil_ExceptionEnable();
  319. return XST_SUCCESS;
  320. }
  321. //禁用dma中断
  322. static void DisableIntrSystem(INTC * IntcInstancePtr,
  323. u16 TxIntrId, u16 RxIntrId)
  324. {
  325. XScuGic_Disconnect(IntcInstancePtr, TxIntrId);
  326. XScuGic_Disconnect(IntcInstancePtr, RxIntrId);
  327. }
  328. //设置读取通道
  329. static int RxSetup(XAxiDma * AxiDmaInstPtr)
  330. {
  331. XAxiDma_BdRing *RxRingPtr;
  332. int Status;
  333. XAxiDma_Bd BdTemplate;
  334. XAxiDma_Bd *BdPtr;
  335. XAxiDma_Bd *BdCurPtr;
  336. int BdCount;
  337. int FreeBdCount;
  338. UINTPTR RxBufferPtr;
  339. int Index;
  340. //获取接收环
  341. RxRingPtr = XAxiDma_GetRxRing(&AxiDma);
  342. //设置空间之前禁用所有读取中断
  343. XAxiDma_BdRingIntDisable(RxRingPtr, XAXIDMA_IRQ_ALL_MASK);
  344. //设置bd空间
  345. BdCount = XAxiDma_BdRingCntCalc(XAXIDMA_BD_MINIMUM_ALIGNMENT,
  346. RX_BD_SPACE_HIGH - RX_BD_SPACE_BASE + 1);
  347. //创建bd环
  348. Status = XAxiDma_BdRingCreate(RxRingPtr, RX_BD_SPACE_BASE,RX_BD_SPACE_BASE,
  349. XAXIDMA_BD_MINIMUM_ALIGNMENT, BdCount);
  350. if (Status != XST_SUCCESS) {
  351. xil_printf("Rx bd create failed with %d\r\n", Status);
  352. return XST_FAILURE;
  353. }
  354. //为Rx通道设置BD模板。然后复制到每个RX BD。
  355. //bd归零
  356. XAxiDma_BdClear(&BdTemplate);
  357. //复制模板到创建的bd 模板为16个4字节数据uint32_t类型
  358. Status = XAxiDma_BdRingClone(RxRingPtr, &BdTemplate);
  359. if (Status != XST_SUCCESS) {
  360. xil_printf("Rx bd clone failed with %d\r\n", Status);
  361. return XST_FAILURE;
  362. }
  363. //在读取bd环上加上缓冲区以便读取数据
  364. FreeBdCount = XAxiDma_BdRingGetFreeCnt(RxRingPtr);
  365. Status = XAxiDma_BdRingAlloc(RxRingPtr, FreeBdCount, &BdPtr);
  366. if (Status != XST_SUCCESS) {
  367. xil_printf("Rx bd alloc failed with %d\r\n", Status);
  368. return XST_FAILURE;
  369. }
  370. BdCurPtr = BdPtr;
  371. RxBufferPtr = RX_BUFFER_BASE;
  372. for (Index = 0; Index < FreeBdCount; Index++) {
  373. //设置bd缓冲地址
  374. Status = XAxiDma_BdSetBufAddr(BdCurPtr, RxBufferPtr);
  375. if (Status != XST_SUCCESS) {
  376. xil_printf("Rx set buffer addr %x on BD %x failed %d\r\n",
  377. (unsigned int)RxBufferPtr,
  378. (UINTPTR)BdCurPtr, Status);
  379. return XST_FAILURE;
  380. }
  381. //为给定的bd设置子段长度
  382. Status = XAxiDma_BdSetLength(BdCurPtr, MAX_PKT_LEN,
  383. RxRingPtr->MaxTransferLen);
  384. if (Status != XST_SUCCESS) {
  385. xil_printf("Rx set length %d on BD %x failed %d\r\n",
  386. MAX_PKT_LEN, (UINTPTR)BdCurPtr, Status);
  387. return XST_FAILURE;
  388. }
  389. //接收BDs不需要设置任何控件硬件会设置每个流的SOF/EOF位
  390. //设置bd控制位
  391. XAxiDma_BdSetCtrl(BdCurPtr, 0);
  392. //设置bd的id
  393. XAxiDma_BdSetId(BdCurPtr, RxBufferPtr);
  394. RxBufferPtr += MAX_PKT_LEN;
  395. BdCurPtr = (XAxiDma_Bd *)XAxiDma_BdRingNext(RxRingPtr, BdCurPtr);
  396. }
  397. //设置合并阈值,因此只有一个接收中断在本例中出现如果你想有多个中断发生,改变 COALESCING_COUNT是一个较小的值
  398. //为给定的描述符环形通道设置中断合并参数。
  399. Status = XAxiDma_BdRingSetCoalesce(RxRingPtr, COALESCING_COUNT,DELAY_TIMER_COUNT);
  400. if (Status != XST_SUCCESS) {
  401. xil_printf("Rx set coalesce failed with %d\r\n", Status);
  402. return XST_FAILURE;
  403. }
  404. //将一组bd加入到分配的硬件中
  405. Status = XAxiDma_BdRingToHw(RxRingPtr, FreeBdCount, BdPtr);
  406. if (Status != XST_SUCCESS) {
  407. xil_printf("Rx ToHw failed with %d\r\n", Status);
  408. return XST_FAILURE;
  409. }
  410. //使能所有读取中断
  411. XAxiDma_BdRingIntEnable(RxRingPtr, XAXIDMA_IRQ_ALL_MASK);
  412. //启动读取dma通道
  413. Status = XAxiDma_BdRingStart(RxRingPtr);
  414. if (Status != XST_SUCCESS) {
  415. xil_printf("Rx start BD ring failed with %d\r\n", Status);
  416. return XST_FAILURE;
  417. }
  418. return XST_SUCCESS;
  419. }
  420. //设置发送
  421. static int TxSetup(XAxiDma * AxiDmaInstPtr)
  422. {
  423. XAxiDma_BdRing *TxRingPtr = XAxiDma_GetTxRing(&AxiDma);
  424. XAxiDma_Bd BdTemplate;
  425. int Status;
  426. u32 BdCount;
  427. XAxiDma_BdRingIntDisable(TxRingPtr, XAXIDMA_IRQ_ALL_MASK);
  428. BdCount = XAxiDma_BdRingCntCalc(XAXIDMA_BD_MINIMUM_ALIGNMENT,
  429. (UINTPTR)TX_BD_SPACE_HIGH - (UINTPTR)TX_BD_SPACE_BASE + 1);
  430. Status = XAxiDma_BdRingCreate(TxRingPtr, TX_BD_SPACE_BASE,
  431. TX_BD_SPACE_BASE,
  432. XAXIDMA_BD_MINIMUM_ALIGNMENT, BdCount);
  433. if (Status != XST_SUCCESS) {
  434. xil_printf("Failed create BD ring\r\n");
  435. return XST_FAILURE;
  436. }
  437. XAxiDma_BdClear(&BdTemplate);
  438. Status = XAxiDma_BdRingClone(TxRingPtr, &BdTemplate);
  439. if (Status != XST_SUCCESS) {
  440. xil_printf("Failed clone BDs\r\n");
  441. return XST_FAILURE;
  442. }
  443. Status = XAxiDma_BdRingSetCoalesce(TxRingPtr, COALESCING_COUNT,
  444. DELAY_TIMER_COUNT);
  445. if (Status != XST_SUCCESS) {
  446. xil_printf("Failed set coalescing"
  447. " %d/%d\r\n",COALESCING_COUNT, DELAY_TIMER_COUNT);
  448. return XST_FAILURE;
  449. }
  450. XAxiDma_BdRingIntEnable(TxRingPtr, XAXIDMA_IRQ_ALL_MASK);
  451. Status = XAxiDma_BdRingStart(TxRingPtr);
  452. if (Status != XST_SUCCESS) {
  453. xil_printf("Failed bd start\r\n");
  454. return XST_FAILURE;
  455. }
  456. return XST_SUCCESS;
  457. }
  458. static int SendPacket(XAxiDma * AxiDmaInstPtr)
  459. {
  460. XAxiDma_BdRing *TxRingPtr = XAxiDma_GetTxRing(AxiDmaInstPtr);
  461. u8 *TxPacket;
  462. u8 Value;
  463. XAxiDma_Bd *BdPtr, *BdCurPtr;
  464. int Status;
  465. int Index, Pkts;
  466. UINTPTR BufferAddr;
  467. //限制报文长度
  468. if (MAX_PKT_LEN * NUMBER_OF_BDS_PER_PKT >
  469. TxRingPtr->MaxTransferLen) {
  470. xil_printf("Invalid total per packet transfer length for the "
  471. "packet %d/%d\r\n",
  472. MAX_PKT_LEN * NUMBER_OF_BDS_PER_PKT,
  473. TxRingPtr->MaxTransferLen);
  474. return XST_INVALID_PARAM;
  475. }
  476. TxPacket = (u8 *) Packet;
  477. //发送数据
  478. Value = 0xC;
  479. for(Index = 0; Index < MAX_PKT_LEN * NUMBER_OF_BDS_TO_TRANSFER;
  480. Index ++) {
  481. TxPacket[Index] = Value;
  482. Value = (Value + 1) & 0xFF;
  483. }
  484. //发送之前刷新缓冲区
  485. Xil_DCacheFlushRange((UINTPTR)TxPacket, MAX_PKT_LEN *
  486. NUMBER_OF_BDS_TO_TRANSFER);
  487. Xil_DCacheFlushRange((UINTPTR)RX_BUFFER_BASE, MAX_PKT_LEN *
  488. NUMBER_OF_BDS_TO_TRANSFER);
  489. Status = XAxiDma_BdRingAlloc(TxRingPtr, NUMBER_OF_BDS_TO_TRANSFER,
  490. &BdPtr);
  491. if (Status != XST_SUCCESS) {
  492. xil_printf("Failed bd alloc\r\n");
  493. return XST_FAILURE;
  494. }
  495. BufferAddr = (UINTPTR)Packet;
  496. BdCurPtr = BdPtr;
  497. /*
  498. * Set up the BD using the information of the packet to transmit
  499. * Each transfer has NUMBER_OF_BDS_PER_PKT BDs
  500. */
  501. for(Index = 0; Index < NUMBER_OF_PKTS_TO_TRANSFER; Index++) {
  502. for(Pkts = 0; Pkts < NUMBER_OF_BDS_PER_PKT; Pkts++) {
  503. u32 CrBits = 0;
  504. //设置缓冲区地址
  505. Status = XAxiDma_BdSetBufAddr(BdCurPtr, BufferAddr);
  506. if (Status != XST_SUCCESS) {
  507. xil_printf("Tx set buffer addr %x on BD %x failed %d\r\n",
  508. (unsigned int)BufferAddr,
  509. (UINTPTR)BdCurPtr, Status);
  510. return XST_FAILURE;
  511. }
  512. //设置长度字段
  513. Status = XAxiDma_BdSetLength(BdCurPtr, MAX_PKT_LEN,
  514. TxRingPtr->MaxTransferLen);
  515. if (Status != XST_SUCCESS) {
  516. xil_printf("Tx set length %d on BD %x failed %d\r\n",
  517. MAX_PKT_LEN, (UINTPTR)BdCurPtr, Status);
  518. return XST_FAILURE;
  519. }
  520. //起始bd设置sof
  521. if (Pkts == 0) {
  522. CrBits |= XAXIDMA_BD_CTRL_TXSOF_MASK;
  523. }
  524. //最后一个bd设置eof和ioc
  525. if(Pkts == (NUMBER_OF_BDS_PER_PKT - 1)) {
  526. CrBits |= XAXIDMA_BD_CTRL_TXEOF_MASK;
  527. }
  528. XAxiDma_BdSetCtrl(BdCurPtr, CrBits);
  529. XAxiDma_BdSetId(BdCurPtr, BufferAddr);
  530. BufferAddr += MAX_PKT_LEN;
  531. BdCurPtr = (XAxiDma_Bd *)XAxiDma_BdRingNext(TxRingPtr, BdCurPtr);
  532. }
  533. }
  534. //将bd交给硬件
  535. Status = XAxiDma_BdRingToHw(TxRingPtr, NUMBER_OF_BDS_TO_TRANSFER,
  536. BdPtr);
  537. if (Status != XST_SUCCESS) {
  538. xil_printf("Failed to hw, length %d\r\n",
  539. (int)XAxiDma_BdGetLength(BdPtr,
  540. TxRingPtr->MaxTransferLen));
  541. return XST_FAILURE;
  542. }
  543. return XST_SUCCESS;
  544. }

笔者使用它进行传感器数据搬运到ddr  ps端移植freertos进行oled显示与控制

附上freertos的代码吧官方只是移植了一个框架使用重点在任务间的数据传递以及调度器的使用

  1. //
  2. /* FreeRTOS includes. */
  3. #include "FreeRTOS.h"
  4. #include "task.h"
  5. #include "queue.h"
  6. #include "timers.h"
  7. /* Xilinx includes. */
  8. #include "xil_printf.h"
  9. #include "xparameters.h"
  10. #include "sleep.h"
  11. #include "oled_driver.h"
  12. /* user includes. */
  13. #include "sg_dma.h"
  14. /*-----------------------------------------------------------*/
  15. static void dma_rx_Task( void *pvParameters );
  16. static void oled_Task( void *pvParameters );
  17. static void led_Task(void *pvParameters);
  18. static TaskHandle_t dma_RxTask;
  19. static TaskHandle_t oled;
  20. static TaskHandle_t led;
  21. static QueueHandle_t xQueue = NULL;
  22. static QueueHandle_t led_flag = NULL;
  23. extern int rx_data_buf[4];
  24. int main( void )
  25. {
  26. xil_printf( "Hello from Intelligent home system\r\n" );
  27. sg_dma_init();
  28. xTaskCreate( dma_rx_Task,
  29. ( const char * ) "DMA_RX",
  30. configMINIMAL_STACK_SIZE,
  31. NULL,
  32. tskIDLE_PRIORITY,
  33. &dma_RxTask );
  34. xTaskCreate( oled_Task,
  35. ( const char * ) "oled",
  36. configMINIMAL_STACK_SIZE,
  37. NULL,
  38. tskIDLE_PRIORITY+1 ,
  39. &oled );
  40. xTaskCreate( led_Task,
  41. ( const char * ) "led",
  42. configMINIMAL_STACK_SIZE,
  43. NULL,
  44. tskIDLE_PRIORITY+2,
  45. &led );
  46. //创建队列
  47. xQueue = xQueueCreate( 1,sizeof( rx_data_buf ) );
  48. led_flag = xQueueCreate( 1,3);
  49. //检查队列是否创建
  50. configASSERT( xQueue );
  51. vTaskStartScheduler();
  52. for( ;; );
  53. }
  54. static void dma_rx_Task( void *pvParameters )
  55. {
  56. for( ;; )
  57. {
  58. rx_data();
  59. xil_printf("task1\r\n");
  60. xQueueSend( xQueue,
  61. rx_data_buf,
  62. 0UL );
  63. }
  64. }
  65. static void oled_Task( void *pvParameters )
  66. {
  67. int Recdstring[4] = {0};
  68. u8 key_val;
  69. u8 led_data[3]={0};
  70. for( ;; )
  71. {
  72. xil_printf("task2\r\n");
  73. xQueueReceive( xQueue,
  74. Recdstring,
  75. portMAX_DELAY );
  76. OLED_ShowNum(34,0,Recdstring[0],2,16);
  77. OLED_ShowNum(34,2,Recdstring[1],2,16);
  78. OLED_ShowNum(98,2,Recdstring[2],1,16);
  79. OLED_ShowNum(98,0,Recdstring[3],3,16);
  80. if (READ == 0){
  81. usleep(20000);
  82. if (READ == 0)
  83. key_val = ~key_val;}while(READ == 0){}
  84. if(key_val){
  85. if(Recdstring[3]<200)
  86. {
  87. led_data[0]=1;
  88. }else{
  89. led_data[0]=0;
  90. }
  91. if(Recdstring[0]>28)
  92. {
  93. led_data[1]=1;
  94. }else{
  95. led_data[1]=0;
  96. }
  97. if(Recdstring[2]>50)
  98. {
  99. led_data[2]=1;
  100. }else{
  101. led_data[2]=0;
  102. }
  103. xQueueSend( led_flag,
  104. led_data,
  105. 0UL );
  106. }
  107. }
  108. }
  109. static void led_Task(void *pvParameters)
  110. {
  111. u8 led[3];
  112. for( ;; )
  113. {
  114. xil_printf("task3\r\n");
  115. xQueueReceive( led_flag,
  116. led,
  117. portMAX_DELAY );
  118. if(led[0]){
  119. LIGHT_HGIH;
  120. }else{
  121. LIGHET_LOW;
  122. }
  123. if(led[1]){
  124. AIR_HIGH;
  125. }else{
  126. AIR_LOW;
  127. }
  128. if(led[2]){
  129. flag_HIGH;
  130. }else{
  131. flag_LOW;
  132. }
  133. }
  134. }
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/人工智能uu/article/detail/830495
推荐阅读
相关标签
  

闽ICP备14008679号