当前位置:   article > 正文

Nexys4 DDR开发(一)--板子启动及测试

nexys4 ddr

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

最近回家没事情做,拿了一块Nexys4 DDR基础板玩一玩,很久没有做单纯的FPGA了,从头开始记录一下。


一、板子示意图

二、开机启动

1.跳帽选择

在上图1处,选择上电方式,因为我是在笔记本上使用,所以为了后续program bitstream方便,选择usb供电,并且将JP1位置跳帽选择JTAG,方便后续Vivado烧制。

2.开机启动

正确启动后,power下方小红灯会亮。

三、IO试验

板子启动后,先做一个小实验,来熟悉一下这个板子。

1.添加board file文件

因为这块板子是DIGILENT的开发板,所以在vivado board file中没有这一块板子,所以我们从github将这块板子的board file git到本地,添加到vivado中。

https://github.com/wincle626/Digilent-board-files.git

注意,如果使用的vivado版本在15.X以上,只需要将new folder下文件复制即可。

下载完成后,将其中board files添加到Vivado如下路径中即可。

-/Xilinx/Vivado/2020.2/data/boards/board files

2.创建Vivado工程

这一部分比较简单,主要是测试一下板子,下面是source file以及constraint

(1)Source src

  1. module led(
  2. input switch_0,
  3. input switch_1,
  4. output led_0,
  5. output led_1
  6. );
  7. assign led_0 = switch_0;
  8. assign led_1 = switch_1;
  9. endmodule

 (2)Constraint

只需要根据原理图,将对应引脚正确分配即可。

  1. set_property PACKAGE_PIN J15 [get_ports switch_0]
  2. set_property PACKAGE_PIN L16 [get_ports switch_1]
  3. set_property PACKAGE_PIN H17 [get_ports led_0]
  4. set_property PACKAGE_PIN K15 [get_ports led_1]
  5. set_property IOSTANDARD LVCMOS33 [get_ports switch_0]
  6. set_property IOSTANDARD LVCMOS33 [get_ports switch_1]
  7. set_property IOSTANDARD LVCMOS33 [get_ports led_0]
  8. set_property IOSTANDARD LVCMOS33 [get_ports led_1]

3.生成bitstream

这一步没有什么问题,最后生成后,点开Open Hardware Manager

将bitstream导入板子中。

4.运行结果

这块板子在bitstream烧录完成后,下图1位置done信号灯会亮起,表示烧录成功

 

 

总结

本文只是简单的启动板子,并且简单使用了IO,后续还会更新对板子的进一步开发。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/从前慢现在也慢/article/detail/641359
推荐阅读
相关标签
  

闽ICP备14008679号