当前位置:   article > 正文

数字IC设计笔试面试题总结(二)_数字ic帧同步

数字ic帧同步

1、边沿检测(实现对信号上升沿或下降沿进行检测)

  1. //上升沿检测
  2. `timescale 1ns/1ps
  3. module edge_detect(
  4. //system signals
  5. input clk ,
  6. input rst_n ,
  7. input edge_signal ,
  8. output pulse
  9. );
  10. reg edge_reg0;
  11. reg edge_reg1;
  12. always @(posedge clk or negedge rst_n) begin
  13. if (!rst_n) begin
  14. edge_reg0 <= 1'b0;
  15. edge_reg1 <= 1'b0;
  16. end
  17. else begin
  18. edge_reg0 <= edge_signal;
  19. edge_reg1 <= edge_reg0;
  20. end
  21. end
  22. assign pulse = ((~edge_reg1)&edge_reg0)?1'b1:1'b0;
  23. endmodule

2、帧头检测(在通信中的帧同步中有应用)

     题目描述:帧头frame_head来脉冲同时data_in会来数据,连续三次的数据都是8'h33时就输出一个脉冲。

  1. `timescale 1ns/1ps
  2. module frame_detect (
  3. //system signals
  4. input clk ,
  5. input rst_n ,
  6. input frame_head ,
  7. input [7:0]data_in ,
  8. output pulse
  9. );
  10. reg out;
  11. reg [1:0] state;
  12. reg pulse_f
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/代码探险家/article/detail/988991
推荐阅读
相关标签
  

闽ICP备14008679号