当前位置:   article > 正文

静态时序分析—虚拟时钟(virtual clock)与I/O延时约束

虚拟时钟

2dc2a6e68e564af0b55f7398a7121887.jpg

 553be0e3d17643e498aa4461d1160ef5.jpg

1.什么是虚拟时钟?

虚拟时钟(virtual clock)是存在但没有clock source(pin/port)定义的时钟,仅作为输入输出端口延时约束的参考时钟。定义方式如下例:

create_clock -name virtual_clock -period 10 -waveform {0 5} ;#虚拟时钟不需要[get_pins /get_ports],不加waveform默认50% duty cycle。所以加不加-waveform {0 5}效果是一样的。

与虚拟时钟相关的端口约束为set_input_delay和set_output_delay。

e403266397864d5c947a954392c259ac.png

2.IO端口约束

静态时序分析有四种时序路径,分别是:in2reg,reg2reg,reg2out和in2out,其中三种都与input或output port相关,而工具并不知道i

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/你好赵伟/article/detail/743982
推荐阅读
相关标签
  

闽ICP备14008679号