当前位置:   article > 正文

Vivado硬件调试与仿真——ILA集成逻辑分析仪的使用_vivado block design 仿真

vivado block design 仿真

一、添加ILA核的几种方法

(1)HDL方式:生成IP核,在HDL代码中进行例化。(修改时需要改代码,稍麻烦)

(2)信号列表和原理图方式:对代码进行综合之后添加。(综合优化后可能无想要观测信号)

(3)IP寄存器方式:开发硬核和软核设计时使用。

 二、HDL方式

(1)添加IP核

         generate时选择out of context per ip(创建ip核时被综合一次,在综合整个工程时不会被再次综合;如果选择global每次综合工程都会综合ip核)

(2)例化IP核

        这里有例化模板:

        时钟与待测模块时钟一致。  代码完成之后,生成bitstream,下载到板子

 (3)添加触发条件

        先点击+,添加需要设置的信号,然后设置值

三、Block Desn

        下边的例子是在block design中对AXIS添加ILA的方法,直接连线,进行设置即可。

 

四、网表文件

(1)综合之后打开网表文件,右上角设置为debug模式。在信号列表中找到所要调试信号(后缀为IBUF),将其设置为mark debug。

 也可以右键原理图中所要调试信号所在连线,设置为mark debug

        如果该信号综合后被优化(如26位只显示了后20位),需要在源代码中添加:

(*mark_debug = "true"*)

 可以直接保存在 .xdc文件中,重新进行综合

(2)点击set up debug

 (3)保存后退出综合,生成bitstream,之后操作同HDL方式。

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/凡人多烦事01/article/detail/484452
推荐阅读
相关标签
  

闽ICP备14008679号