当前位置:   article > 正文

简易秒表的实现

简易秒表的实现

目录

描述

输入描述:

输出描述:

参考代码


描述

请编写一个模块,实现简易秒表的功能:具有两个输出,当输出端口second从1-60循环计数,每当second计数到60,输出端口minute加一,一直到minute=60,暂停计数。

模块的接口信号图如下:

       模块的时序图如下:

      

      

请使用Verilog HDL实现以上功能,并编写testbench验证模块的功能

输入描述:

clk:系统时钟信号

rst_n:异步复位信号,低电平有效

输出描述:

second:6比特位宽,秒表的秒读数

minute:6比特位宽,秒表的分读数

参考代码

  1. `timescale 1ns/1ns
  2. module count_module(
  3. input clk,
  4. input rst_n,
  5. output reg [5:0]second,
  6. output reg [5:0]minute
  7. );
  8. always @(posedge clk or negedge rst_n)
  9. if (!rst_n)
  10. begin
  11. minute <= 6'd0;
  12. end
  13. else if (second == 6'd60)
  14. begin
  15. minute <= minute+1;
  16. end
  17. else
  18. begin
  19. minute <= minute;
  20. end
  21. always @(posedge clk or negedge rst_n)
  22. if (!rst_n)
  23. begin
  24. second <= 6'd0;
  25. end
  26. else if(second == 6'd60)
  27. begin
  28. second <= 6'd1;
  29. end
  30. else if (minute == 60)
  31. second <= 0;
  32. else
  33. second <= second+1'd1;
  34. endmodule

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/寸_铁/article/detail/825344
推荐阅读
相关标签
  

闽ICP备14008679号