当前位置:   article > 正文

VIVADO 自定义封装ip核(超详细)_vivado封装ip核

vivado封装ip核

版本:vivado 2018.3

vivado 自定义封装ip核,可以将ip核封装成带AXI总线,也可将ip核封装成不带AXI总线。

本次设计介绍,如何将当前工程封装成ip核(不带AXI总线)

目录

一、工程文件介绍

二、封装IP核步骤

三、将IP核添加到ip核库 


一、工程文件介绍

1. 创建如下的工程:

2. 工程内的.v文件如下:

  1. module uart_rx
  2. #(
  3. parameter integer BPS = 9_600 , //发送波特率
  4. parameter integer CLK_FRE = 50_000_000 //输入时钟频率
  5. )
  6. (
  7. //系统接口
  8. input sys_clk , //50M系统时钟
  9. input sys_rst_n , //系统复位
  10. //UART接收线
  11. input uart_rxd , //接收数据线
  12. //用户接口
  13. output reg uart_rx_done , //数据接收完成标志,当其为高电平时,代表接收数据有效
  14. output reg [7:0] uart_rx_data //接收到的数据,在uart_rx_done为高电平时有效
  15. );
  16. //param define
  17. localparam integer BPS_CNT = CLK_FRE / BPS; //根据波特率计算传输每个bit需要多个系统时钟
  18. //reg define
  19. reg uart_rx_d1 ; //寄存1拍
  20. reg uart_rx_d2 ; //寄存2拍
  21. reg uart_rx_d3 ; //寄存3拍
  22. reg [31:0] clk_cnt ; //计数器,用于计数发送一个bit数据所需要的时钟数
  23. reg [3:0] bit_cnt ; //bit计数器,标志当前发送了多少个bit
  24. reg rx_en ; //接收标志信号,拉高代表接收过程正在进行
  25. reg [7:0] uart_rx_data_reg; //接收数据寄存
  26. //wire define
  27. wire neg_uart_rxd ; //接收数据线的下降沿
  28. assign neg_uart_rxd = uart_rx_d3 & (~uart_rx_d2); //捕获数据线的下降沿,用来标志数据传输开始
  29. //将数据线打3拍,作用1:同步不同时钟域信号,防止亚稳态;作用2:捕获下降沿
  30. always@(posedge sys_clk or negedge sys_rst_n)begin
  31. if(!sys_rst_n)begin
  32. uart_rx_d1 <= 1'b0;
  33. uart_rx_d2 <= 1'b0;
  34. uart_rx_d3 <= 1'b0;
  35. end
  36. else begin
  37. uart_rx_d1 <= uart_rxd;
  38. uart_rx_d2 <= uart_rx_d1;
  39. uart_rx_d3 <= uart_rx_d2;
  40. end
  41. end
  42. //捕获到数据下降沿(起始位0)后,拉高传输开始标志位,并在第9个数据(终止位)的传输过程正中(数据比较稳定)再将传输开始标志位拉低,标志传输结束
  43. always@(posedge sys_clk or negedge sys_rst_n)begin
  44. if(!sys_rst_n)
  45. rx_en <= 1'b0;
  46. else begin
  47. if(neg_uart_rxd )
  48. rx_en <= 1'b1;
  49. //接收完第9个数据(终止位)将传输开始标志位拉低,标志传输结束,判断高电平
  50. else if((bit_cnt == 4'd9) && (clk_cnt == BPS_CNT >> 1'b1) && (uart_rx_d3 == 1'b1) )
  51. rx_en <= 1'b0;
  52. else
  53. rx_en <= rx_en;
  54. end
  55. end
  56. //当数据传输到终止位时,拉高传输完成标志位,并将数据输出
  57. always@(posedge sys_clk or negedge sys_rst_n)begin
  58. if(!sys_rst_n)begin
  59. uart_rx_done <= 1'b0;
  60. uart_rx_data <= 8'd0;
  61. end
  62. //结束接收后,将接收到的数据输出
  63. else if((bit_cnt == 4'd9) && (clk_cnt == BPS_CNT >> 1'd1) && (uart_rx_d3 == 1'b1))begin
  64. uart_rx_done <= 1'b1; //仅仅拉高一个时钟周期
  65. uart_rx_data <= uart_rx_data_reg;
  66. end
  67. else begin
  68. uart_rx_done <= 1'b0; //仅仅拉高一个时钟周期
  69. uart_rx_data <= uart_rx_data;
  70. end
  71. end
  72. //时钟每计数一个BPS_CNT(传输一位数据所需要的时钟个数),即将数据计数器加1,并清零时钟计数器
  73. always@(posedge sys_clk or negedge sys_rst_n)begin
  74. if(!sys_rst_n)begin
  75. bit_cnt <= 4'd0;
  76. clk_cnt <= 32'd0;
  77. end
  78. else if(rx_en)begin //在接收状态
  79. if(clk_cnt < BPS_CNT - 1'b1)begin //一个bit数据没有接收完
  80. clk_cnt <= clk_cnt + 1'b1; //时钟计数器+1
  81. bit_cnt <= bit_cnt; //bit计数器不变
  82. end
  83. else begin //一个bit数据接收完了
  84. clk_cnt <= 32'd0; //清空时钟计数器,重新开始计时
  85. bit_cnt <= bit_cnt + 1'b1; //bit计数器+1,表示接收完了一个bit的数据
  86. end
  87. end
  88. else begin //不在接收状态
  89. bit_cnt <= 4'd0; //清零
  90. clk_cnt <= 32'd0; //清零
  91. end
  92. end
  93. //在每个数据的传输过程正中(数据比较稳定)将数据线上的数据赋值给数据寄存器
  94. always@(posedge sys_clk or negedge sys_rst_n)begin
  95. if(!sys_rst_n)
  96. uart_rx_data_reg <= 8'd0; //复位无接收数据
  97. else if(rx_en) //处于接收状态
  98. if(clk_cnt == BPS_CNT >> 1'b1) begin //传输过程正中(数据比较稳定)
  99. case(bit_cnt) //根据位数决定接收的内容是什么
  100. 4'd1:uart_rx_data_reg[0] <= uart_rx_d3; //LSB最低位
  101. 4'd2:uart_rx_data_reg[1] <= uart_rx_d3; //
  102. 4'd3:uart_rx_data_reg[2] <= uart_rx_d3; //
  103. 4'd4:uart_rx_data_reg[3] <= uart_rx_d3; //
  104. 4'd5:uart_rx_data_reg[4] <= uart_rx_d3; //
  105. 4'd6:uart_rx_data_reg[5] <= uart_rx_d3; //
  106. 4'd7:uart_rx_data_reg[6] <= uart_rx_d3; //
  107. 4'd8:uart_rx_data_reg[7] <= uart_rx_d3; //MSB最高位
  108. default:; //1和9分别是起始位和终止位,不需要接收
  109. endcase
  110. end
  111. else //数据不一定稳定就不接收
  112. uart_rx_data_reg <= uart_rx_data_reg;
  113. else
  114. uart_rx_data_reg <= 8'd0; //不处于接收状态
  115. end
  116. endmodule

二、封装IP核步骤

step1: Tools---Great and Package New IP,弹出的界面点击next

step2:选择Package your current project,点击Next

setp3:①选择 ip核存放的位置  ②勾选“include .xci files” ③点击next

setp4:弹出一个总结窗口,点击Next

setp5:弹出Package ip 界面。如果不小心关了这个界面,可以在最左边的“PacgeIP”

 Identification:此界面可以修改ip核的名字、ip核展示的名字等等

Compatibility:增加或者删除适用于哪些型号的FPGA芯片,一般默认

 File Groups :ip核文件类别,可以选择是否包含 综合和仿真文件

Customization Parameters:双击可配置参数的名字、默认值等等

 Ports and Interfaces:ip核的输入和输出端口

Addressing and Memory :ip核的地址,如果PS通过AXI总线访问PL,PS可通过这个地址识别到这个IP核,可以理解为IP核的ID

 

Customazation GUI : IP核的GUI界面

setp6:Review and Packaga可看到ip核的一些总结信息,点击 "Package IP" ,ip核已经封装完成。

 

 封装完成ip核后,可以在 ip catelog里面搜到到封装完成的ip核,如下:

三、将IP核添加到ip核库 

如果想将封装好的ip核,在其他的工程里面使用,还需要将ip核文件添加到ip核库里面。

Settings---IP---Reposilory,选择ip的文件,点击ok

 

 

 

 

 

 

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小丑西瓜9/article/detail/91907
推荐阅读
相关标签
  

闽ICP备14008679号