当前位置:   article > 正文

求数据绝对值的verilog_systemverilog 绝对值

systemverilog 绝对值

//取绝对值

moduleabs(

                   input sclk,

                   input prst,

                   input en_p,

                   input signed[15:0] data_A,

                   input signed[15:0] data_B,

                   output reg[15:0]  data_outA=16'd0,

                   output reg[15:0]  data_outB=16'd0                 

);

 

always@(posedgesclk or posedge prst)

         begin

                   if(prst)

                            data_outA<= 16'd0;

                   else

                   if(en_p)

                            begin

                                     case(data_A[15])

                                               1'b0:data_outA <= data_A;                                     

                                               1'b1:data_outA <= ~data_A

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小小林熬夜学编程/article/detail/453947
推荐阅读
相关标签
  

闽ICP备14008679号