当前位置:   article > 正文

vivado报位置约束指令的critical warning_common 17-55

common 17-55

位置约束指令的critical warning:

[Common 17-55] ‘set_property’ expects at least one object.
[“F:/prj/ip_updata_prj/test_name/test_name.srcs/constrs_1/imports/xdc/top.xdc”:57]


问题描述:

top.xdc里输入这两条指令会报下图中的warning。
set_property LOC FE_X0Y3 [get_cells */DUT0/inst/FE_I]
set_property LOC FE_X0Y4 [get_cells */DUT1/inst/FE_I]

会报出下图的critical warning。说明没有找到上述约束指令里的通配符所指的路径。
在这里插入图片描述


原因分析:

提示:这里填写问题的分析:
例如:Handler 发送消息有两种方式,分别是 Handler.obtainMessage()和 Handler.sendMessage(),其中 obtainMessage 方式当数据量过大时,由于 MessageQuene 大小也有限,所以当 message 处理不及

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小桥流水78/article/detail/823731
推荐阅读
相关标签
  

闽ICP备14008679号