当前位置:   article > 正文

Verilog inout 端口使用和仿真_inout端口可以定义为reg类型

inout端口可以定义为reg类型

inout端口是Verilog中一种特殊的端口类型,它可以实现双向的数据传输,既可以作为输入,也可以作为输出。inout端口通常用于实现管脚复用、三态缓冲器、总线驱动等功能。inout端口的使用需要注意以下几个方面:

  • inout端口必须声明为wire类型,不能声明为reg类型,因为reg类型的变量不能被多个驱动源赋值,而inout端口可能会有多个驱动源。

  • inout端口必须使用assign语句来赋值,不能在always块中赋值,因为always块中的赋值会产生隐式的锁存器,而inout端口需要使用显式的三态门来控制。

  • inout端口的赋值必须使用三态表达式,即根据一个控制信号来选择输出一个具体的值或者高阻态。高阻态表示inout端口不输出任何值,而是作为输入使用。三态表达式的一般形式为:assign inout_port = control ? value : 1’bz; 其中control是一个布尔型的控制信号,value是一个具体的值,1’bz表示高阻态。高阻态时,inout 端口用作输入。

  • 可选的:inout端口作为输入时,必须有一个reg类型的缓冲变量来存储输入的值,不能直接使用inout端口的值。这样可以避免inout端口作为输出时,输出的值影响到输入的电路。缓冲变量的赋值也需要一个控制信号来控制,一般是在always块中使用if语句来实现。

下面是一个使用inout端口的Verilog代码的示例,该代码实现了一个简单的三态缓冲器,可以根据一个使能信号来选择输出一个输入信号或者高阻态。

  1. // 协议解析状态机
  2. module pt_fsm
  3. (
  4. input wire sys_clk , // 系统时钟
  5. input wire sys_rst_n , // 复位信号,低电平有效
  6. input wire data_flag , // 数据有效标志,上升沿有效
  7. input wire rd_en , // 上位机读取回复标志信号,低电平有效
  8. inout wire [7:0] mcu_data , // 输入数据
  9. output reg [31:0] cmd_rcv , // 接收到的一帧命令
  10. output reg cmd_flag , // 命令有效标志。每接收到一帧命令,拉高一个时钟周期
  11. output reg led_out,
  12. output reg [31:0] freq // 要设置的频率
  13. );
  14. // inout 端口用作输入时为高阻态,用作输出时从相应的缓冲寄存器里取值
  15. reg [7:0] mcu_data_reg; // inout 端口用作输出时的缓冲寄存器
  16. reg dir; // dir=1 mcu_data 用于输出。dir=0时,高阻态,mcu_data 用于输入
  17. assign mcu_data = (dir == 1) ? mcu_data_reg : 8'bz;
  18. // -----------------inout 端口 mcu_data 用作输入--------------------------
  19. always@(posedge sys_clk or negedge sys_rst_n)
  20. if(sys_rst_n == 1'b0)
  21. begin
  22. state <= IDLE;
  23. packet <= 32'd0;
  24. end
  25. else case(state)
  26. IDLE: if((data_flag_pos == 1'b1) && (mcu_data == 8'h55)) // 收到 0x55, 进入下一个状态
  27. begin
  28. state <= ONE;
  29. packet[31:24] <= mcu_data;
  30. end
  31. else // 没有收到数据,或者收到非 0x55 保持状态不变
  32. state <= IDLE;
  33. ONE: if(data_flag_pos == 1'b1)// 收到任意字节数据,进入下一个状态
  34. begin
  35. state <= TWO;
  36. packet[23:16] <= mcu_data; //保存接收到的数据
  37. end
  38. else
  39. state <= ONE;
  40. TWO: if(data_flag_pos == 1'b1) // 收到任意字节数据,进入下一个状态
  41. begin
  42. state <= THREE;
  43. packet[15:8] <= mcu_data;
  44. end
  45. else
  46. state <= TWO;
  47. THREE: if(data_flag_pos == 1'b1) // 收到任意字节数据,进入下一个状态
  48. begin
  49. state <= FOUR;
  50. packet[7:0] <= mcu_data;
  51. end
  52. else
  53. state <= THREE;
  54. FOUR: state <= IDLE; // 直接进入空闲状态,不做任何操作, 该状态只维持一个时钟周期
  55. default: state <= IDLE;
  56. endcase
  57. // ---------------inout 端口 mcu_data 用作输出--------------------------
  58. // 对输出数据进行赋值
  59. always@(posedge sys_clk or negedge sys_rst_n)
  60. if(sys_rst_n == 1'b0)
  61. mcu_data_reg <= 8'h00;
  62. else if(rd_ne == 1'b1)
  63. case(res_cnt) // 根据情况,回复相应数据
  64. 4'd0: mcu_data_reg <= cmd_rcv[31:24];
  65. 4'd1: mcu_data_reg <= cmd_rcv[23:16];
  66. 4'd2: mcu_data_reg <= cmd_rcv[16:8];
  67. 4'd3: mcu_data_reg <= cmd_rcv[7:0];
  68. endcase

inout 端口仿真时和使用类似,首先,我们需要将inout端口声明为wire型,例化的时候与设计文件连接,其次,我们要分别模拟input和output的行为,读取的时间高阻态,发送的时间有相对应的值。下面是仿真代码:

  1. `timescale 1ns/1ns
  2. module tb_dds_ctrl();
  3. // 模拟产生三路信号
  4. reg sys_clk;
  5. reg sys_rst_n;
  6. reg [3:0] wave_sel;
  7. reg wr; // 写使能,高电平有效
  8. reg rd_en; // 写使能,低电平有效
  9. wire [7:0] dac_data;
  10. wire led_out;
  11. //因此我们没有办法在testbench中将其声明为inout端口,为了在testbench中体现inout,
  12. //首先,我们需要将inout端口声明为wire型,例化的时候与设计文件连接,
  13. //其次,我们要分别模拟inputoutput的行为,读取的时间高阻态,发送的时间有相对应的值,
  14. wire [7:0] mcu_data; // bidirectional signal from DUT
  15. reg [7:0] mcu_data_drive; // locally driven value
  16. reg dir;
  17. assign mcu_data = (dir == 1'b1) ? mcu_data_drive : 8'hZZ;
  18. initial
  19. begin
  20. dir = 1'b1;
  21. sys_clk = 1'b1;
  22. sys_rst_n = 1'b0;
  23. wave_sel = 4'b0000;
  24. rd_en = 1'b1; // 拉高读信号,禁止读
  25. wr = 1'b0; // 拉低写信号,禁止写
  26. //mcu_data_drive <= 8'h34;
  27. mcu_data_drive = 8'b0;
  28. #200 // 等待200个时间单位
  29. sys_rst_n = 1'b1; // 复位信号拉高
  30. #20
  31. wave_sel = 4'b0001; // 应该输出正弦波
  32. /* #8000000
  33. wave_sel <= 4'b0010; // 方波信号
  34. #8000000
  35. wave_sel <= 4'b0100; // 三角信号
  36. #8000000
  37. wave_sel <= 4'b1000; // 锯齿波信号
  38. #8000000
  39. wave_sel <= 4'b0000; // 最后信号回到初始值 */
  40. #100
  41. wr = 1'b0; // 先拉低,因为高电平有效
  42. #20
  43. mcu_data_drive = 8'h55;
  44. #20
  45. wr = 1'b1; // 拉高写使能
  46. #20
  47. wr = 1'b0;
  48. #20
  49. mcu_data_drive = 8'h01; // 发送第二个字节
  50. #20
  51. wr = 1'b1; // 拉高写使能
  52. #20
  53. wr = 1'b0;
  54. #20
  55. mcu_data_drive = 8'h86; // 发送第三个字节
  56. #20
  57. wr = 1'b1; // 拉高写使能
  58. #20
  59. wr = 1'b0;
  60. #20
  61. mcu_data_drive = 8'ha0; // 发送第四个字节
  62. #20
  63. wr = 1'b1; // 拉高写使能
  64. #20
  65. wr = 1'b0;
  66. //---------------------下面模拟接收
  67. #20
  68. dir = 1'b0; // 方向改为输出
  69. #100 // 模拟上位机开始读取回复
  70. rd_en = 1'b0;
  71. #30
  72. rd_en = 1'b1; // 拉高使能信号
  73. #20 // 读取第二个字节
  74. rd_en = 1'b0;
  75. #20
  76. rd_en = 1'b1;
  77. #20 // 读取第三个字节
  78. rd_en = 1'b0;
  79. #20
  80. rd_en = 1'b1;
  81. #20 // 读取第四个字节
  82. rd_en = 1'b0;
  83. #20
  84. rd_en = 1'b1;
  85. #20
  86. dir = 1'b1; // 方向改为输入
  87. // 在发送一个字节的数据,看看 inout 变量 mcu_data 接收是否正常
  88. #20
  89. mcu_data_drive = 8'h55;
  90. #20
  91. wr = 1'b1; // 拉高写使能
  92. #20
  93. wr = 1'b0;
  94. end
  95. // 模拟产生 50MHz 是时钟信号
  96. always #10 sys_clk = ~sys_clk;
  97. // 实例化要仿真的模块
  98. dds_ctrl dds_ctrl_inst
  99. (
  100. .sys_clk (sys_clk), // 系统时钟
  101. .sys_rst_n (sys_rst_n), // 复位信号
  102. .wave_sel (wave_sel), // 波形选择信号
  103. .wr (wr),
  104. .rd_en (rd_en),
  105. .mcu_data (mcu_data), // inout 型端口
  106. .led_out (led_out),
  107. .dac_data (dac_data) // 输出信号
  108. );
  109. endmodule

下面是仿真波形:

 需要注意的时,同一个 inout 端口信号,只能在一个模块文件中使用,不能在多个仿真文件中使用,否则会出现仿真错误。这也导致一个问题,就是接收和发送不能分开处理,导致模块臃肿。

加作者薇信:jiyuyun18, 交流电子技术

留言:CSDN FPGA 入群,加入 FPGA 技术交流群

 

声明:本文内容由网友自发贡献,转载请注明出处:【wpsshop】
推荐阅读
相关标签
  

闽ICP备14008679号