当前位置:   article > 正文

Verilog实现3-8译码器

Verilog实现3-8译码器

3-8译码器真值表

3-8译码器

verilog 代码

module yimaqi_3_8(date_in,out);

	input [2:0] date_in;	
	output reg [7:0]  out;
	
//always块描述的信号赋值,被赋值对象必须定义为reg类型
	always @ (*)  begin
		case(date_in)
			3'b000: out = 8'b0000_0001;
			3'b001: out = 8'b0000_0010;
			3'b010: out = 8'b0000_0100;
			3'b011: out = 8'b0000_1000;
			3'b100: out = 8'b0001_0000;
			3'b101: out = 8'b0010_0000;
			3'b110: out = 8'b0100_0000;
			3'b111: out = 8'b1000_0000;
		endcase
    end
endmodule
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19

激励代码

module yimaqi_3_8_tb;
    reg [2:0] dat_in;
	wire[7:0] out;
	
	yimaqi_3_8 yimaqiDemo(
				.date_in(dat_in),
				.out(out)
				);
				
	initial begin
	dat_in = 3'b000;
	#200;
	dat_in = 3'b001;
	#200;
	dat_in = 3'b010;
	#200;
	dat_in = 3'b011;
	#200;
	dat_in = 3'b100;
	#200;
	dat_in = 3'b101;
	#200;
	dat_in = 3'b110;
	#200;
	dat_in = 3'b111;
	#200;	
    end
endmodule
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
  • 27
  • 28

仿真波形在这里插入图片描述

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小蓝xlanll/article/detail/622031
推荐阅读
相关标签
  

闽ICP备14008679号