当前位置:   article > 正文

小梅哥Xilinx FPGA学习笔记5——调用子模块使用三八译码器设计流水灯

小梅哥Xilinx FPGA学习笔记5——调用子模块使用三八译码器设计流水灯

目录

一、功能介绍

1.功能描述

二.代码编写

1.设计文件

2.激励文件

3.仿真图

三、总结


一、功能介绍

1.功能描述

8个Led灯以0.5s的的速率循环闪烁,调用三八译码器模块完成该设计。
三八译码器模块见小梅哥Xilinx ZYNQ学习笔记2——38译码器-CSDN博客

二.代码编写

1.设计文件

设计文件共两个,一个LED_Run3.v文件;一个decoder_3_8.v文件

LED_Run3.v文件:

  1. `timescale 1ns / 1ns
  2. //使用三八译码器实现跑马灯
  3. module LED_Run3(
  4. input Clk,
  5. input Reset_n,
  6. output [7:0]Led
  7. );
  8. //用计数器计时0.5s代码
  9. reg [24:0]counter;
  10. parameter MCNT = 25_000_000-1;//将其参数化方便程序修改。
  11. always@(posedge Clk or negedge Reset_n)
  12. if(!Reset_n)
  13. counter <= 0;
  14. else if(counter == MCNT)
  15. counter <= 0;//结果都是0,但是不能合并写成if(!Reset_n|counter == 25_000_000-1),因为D触发器有专门的复位和计数,要分开。
  16. else
  17. counter <= counter+1'd1;
  18. reg [2:0]counter2;
  19. always@(posedge Clk or negedge Reset_n)
  20. if(!Reset_n)
  21. counter2 <= 0;
  22. else if(counter == MCNT)
  23. counter2 <= counter2 + 1'd1;//由于计数器溢出清零的特性,所以不用考虑计数器到7以后再变为零
  24. decoder_3_8 decoder_3_8_inst0(
  25. .A0(counter2[2]),
  26. .A1(counter2[1]),
  27. .A2(counter2[0]),
  28. .out(Led)//系统自动分配8对应LED输出,并且LED的输出此时是由三八译码器输出决定的,所以不再使用weg型,而应该是wire型
  29. );
  30. endmodule

decoder_3_8.v文件:

  1. module decoder_3_8(
  2. A0,
  3. A1,
  4. A2,
  5. out
  6. );
  7. input A0;//输入端口
  8. input A1;//输入端口
  9. input A2;//输入端口
  10. output [7:0]out;//输出端口,并且out是一个八位数值
  11. reg [7:0]out;//always过程赋值语句中等式左边必须为reg类型,否则会报错,无法赋值;声明类型时不要忘记带上位数[7:0]
  12. always@(*)//@(*)中的*代表所有的输入变量均为敏感信号
  13. case({A0,A1,A2})//{}表示的是位拼接,{A0,A1,A2}组成一个三位数值
  14. 3'b000:out=8'b0000_0001;
  15. 3'b001:out=8'b0000_0010;
  16. 3'b010:out=8'b0000_0100;
  17. 3'b011:out=8'b0000_1000;
  18. 3'b100:out=8'b0001_0000;
  19. 3'b101:out=8'b0010_0000;
  20. 3'b110:out=8'b0100_0000;
  21. 3'b111:out=8'b1000_0000;
  22. default: out=8'b0000_0000;//虽然所有的情况都已经列举出来了,但是要写上保持良好的代码风格
  23. endcase//与case配合使用
  24. endmodule

2.激励文件

  1. `timescale 1ns/1ns
  2. module LED_Run_tb();
  3. reg Clk;
  4. reg Reset_n;
  5. wire [7:0]Led;
  6. //LED_Run3 LED_Run_insit3(
  7. // .Clk(Clk),
  8. // .Reset_n(Reset_n),
  9. // .Led(Led)
  10. // );
  11. // defparam LED_Run_insit3.MCNT = 25000-1;//使用defparam来修改设计源文件中MCNT的值,这样就不会在设计文件和仿真文件中来回切换数值
  12. //另外一种修改设计源文件值写法如下。
  13. LED_Run3
  14. #(
  15. .MCNT(25000-1)
  16. )
  17. LED_Run_insit3(
  18. .Clk(Clk),
  19. .Reset_n(Reset_n),
  20. .Led(Led)
  21. );
  22. initial Clk=1;
  23. always #10 Clk =~Clk;
  24. initial begin
  25. Reset_n = 0;
  26. #201 Reset_n = 1;
  27. #40_000_000;
  28. $stop;
  29. end
  30. endmodule

3.仿真图

三、总结

1.调用子模块decoder_3_8的时候,对其端口进行例化

  1. decoder_3_8 decoder_3_8_inst0(
  2. .A0(counter2[2]),
  3. .A1(counter2[1]),
  4. .A2(counter2[0]),
  5. .out(Led)//系统自动分配8对应LED输出,并且LED的输出此时是由三八译码器输出决定的,所以不再使用reg型,而应该是wire型
  6. );

2.在LED_Run.v中,输出不需要定义成reg型;因为LED的输出此时是由三八译码器输出决定的,在decoder_3_8.v文件中out已经定义为reg型,所以此时LED不再使用reg型,而应该是wire型。

  1. //在pipeline_led中
  2. output [7:0] led;
  1. //在decoder_3_8
  2. output [7:0] out;
  3. reg [7:0] out;

3.对于在验证功能的时候,缩短时间要对所有包含的语句均进行改写,采用参数化设计,定义一个MCNT参数,可以将其用在程序的所有位置,只需要对一处就行修改,程序所有对应位置值都能得到修改;

  1. //为缩短时间,将下面第一条语句改为第二条语句,模块中的counter==25000000-1均需要改为counter==25000-1
  2. // else if(counter==25000000-1)
  3. else if(counter==25000-1) //缩小仿真时间,验证功能
parameter MCNT = 25_000_000-1;//将其参数化方便程序修改。

4.对于缩短仿真时间,可以不改变设计文件,在testbench中使用defparam;或者用#例化参数MCNT。

  1. LED_Run3 LED_Run_insit3(
  2. .Clk(Clk),
  3. .Reset_n(Reset_n),
  4. .Led(Led)
  5. );
  6. defparam LED_Run_insit3.MCNT = 25000-1;//使用defparam来修改设计源文件中MCNT的值,这样就不会在设计文件和仿真文件中来回切换数值
  1. LED_Run3
  2. #(
  3. .MCNT(25000-1)
  4. )
  5. LED_Run_insit3(
  6. .Clk(Clk),
  7. .Reset_n(Reset_n),
  8. .Led(Led)
  9. );
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/很楠不爱3/article/detail/402678
推荐阅读
相关标签
  

闽ICP备14008679号