赞
踩
目录
到需要:--> PDS License 时即可不再继续 ( 安装手册16页)
会出现此弹窗,直接确定(无视即可)
是因为我们没有安装--> PDS License
【RTL Project】用于创建 RTL 工程。新建的工程可以执行 synthesize,device map, place& route,report timing, report power, generate netlist 及 generate bitstream 等。
【Post-Synthesize Project】用于创建综合后工程。新建的工程可以执行 device map, place& route,report timing,report power, generate netlist 及 generate bitstream 等。
原文链接:https://blog.csdn.net/MYMINIEYE/article/details/131792801
此处我选择的(1)后继续
剩下所需要的文件,我们在新建工程后再创建。
输入文件名后 ok 就可以了
这里写一个简易的流水灯
- `timescale 1ns / 1ps
- module LED(
- input clk , //时钟
- input rst_n , //复位
-
- output reg [7:0] led
- );
-
-
-
- parameter delay = 50_000_000/2;
- reg [31:0] count ;
-
- always @(posedge clk)
- if(!rst_n)
- count <= 0;
- else if(count == delay)
- count<=0;
- else
- count <= count + 1;
-
-
- always @(posedge clk)
- if(!rst_n)
- led <= 8'b0000_0001;
- else if(count == delay-1)
- led[7:0] <= {led[6:0],led[7]};
- else
- led <= led;
-
-
-
-
- endmodule
!!!编译 /综合 /比特流 双击
编译成功后会有一个绿色的勾。 <( ̄︶ ̄)↗[GO!]
得到如下:
这里是我查询了原理图,数据手册得到的I/O口
B2/A2/B3/A3/C5/A5/F7/F8;
4. 下载程序
此处我们尝试多次,最后得出结论:需要先连接开发板,再进行以下操作,否则将提示找不到开发板。
开发板上便会有对应的程序反应。
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。