当前位置:   article > 正文

基于FT2232集成USB直接实现XilinxJTAG和UART串口_program_ftdi

program_ftdi

本文参考ug908《vivado-programming-debugging》2022.1及更高版本的附录E和https://blog.csdn.net/feitingfj/article/details/113048711。

硬件平台采用FT2232,配置EEPROM采用93LC56B(一定要采用B或者C型号,使用word size为16bit模式),将FT2232的A通道采用JTAG模式连接到FPGA的JTAG,B通道继续采用UART串口模式(可以后续通过FTDI公司的FT Prog软件配置为其他模式和参数)。

图 1 FT2232数据手册中的参考

硬件平台准备好后,使用USB线连接到PC机,在PC机端启动vivado(2022.1版及更高),在TCL控制台输入“program_ftdi”即可查看到帮助信息。

图 2 program_ftdi的帮助信息

使用“program_ftdi -erase”命令进行擦除。

使用“program_ftdi -write -ftdi FT2232H -serial 0ABC01 -vendor "my vendor co" -board "my board" -desc "my product desc"”命令进行数据烧写,该命令会将FT2232的通道A配置为JTAG,其余通道配置为UART,serial长度为6~12个字符(vivado扫描JTAG时显示的cable序列号,同一台PC能够同时连接多个不同序列号的cable仿真器)。烧写完成后需要掉电重启,使用vivado扫描JTAG,查看是否能正确识别到FPGA。(经过测试,JTAG的TCK最高能配置为30MHz,测试下载bit正常)。

本文内容由网友自发贡献,转载请注明出处:【wpsshop博客】
推荐阅读
相关标签
  

闽ICP备14008679号