当前位置:   article > 正文

并行FIR滤波器的FPGA实现_fpga 并行fir滤波器

fpga 并行fir滤波器

要求:设计一个15阶(长度为16)的低通线性相位FIR滤波器,采用blackman窗,截止频率500Hz,采样频率2KHz,采用FPGA并行结构滤波器实现,滤波器系数量化位宽12bit,输入数据位宽12bit,输出数据位宽29bit,系统时钟2KHz。

1.首先采用Matlab求出符合要求的滤波器的系数,并观察12bit量化后的滤波器幅频响应曲线(代码如下)。
matlab代码与上节串行FIR滤波器设计基本一样

运行结果为

可以发现12bit量化符合要求

2.采用Matlab仿真产生待测试数据并通过设计的滤波器验证理论上的滤波效果同时将生成的测试数据写入txt文件中供后面Modelsim仿真时读取

运行结果:

我们可以发现当输入信号为白噪声时输出800Hz以后的频率衰减已经达到-40dB了

当输入信号为200Hz和800Hz的叠加信号时,滤波后的输出只剩200Hz的信号了,800Hz被滤除了。达到了预期的效果。

3.编写Verilog代码实现FPGA设计滤波器结构

  1. /*
  2. *
  3. *@Author: X-Z
  4. *@Date:2023-09-18 20:57:28
  5. *@Function:采用并行结构实现FIR滤波器
  6. */
  7. /*
  8. 设计一个15阶(长度216)的低通线性相位的FIR滤波器,采用布莱克曼窗,截止频率500Hz,采样频率2KHZ,
  9. 采用FPGA实现并行结构的滤波器,系数量化位数12Bit,输入数据位宽12bit,输出数据位宽29bit
  10. 系统时钟2KHz
  11. */
  12. //乘法器使用了1级流水线,加法器没有使用流水线会立即输出相加结果
  13. module FirParaller(
  14. input clk ,//系统时钟2KHz
  15. input rst_n ,
  16. input signed [11:0] Xin ,//输入信号12bit
  17. output signed [28:0] Yout //输出信号
  18. );
  19. //将数据存入移位寄存器Xin_Reg中
  20. reg signed [11:0] Xin_Reg[15:0];
  21. reg [3:0] i,j;
  22. always @(posedge clk or negedge rst_n)begin
  23. if(!rst_n)begin//初始化寄存器
  24. for(i=0;i<15;i=i+1)
  25. Xin_Reg[i] <= 12'd0;
  26. end
  27. else begin
  28. for(j=0;j<15;j=j+1)//此处与串行结构不同,不需要判断计数器状态
  29. Xin_Reg[j+1] <= Xin_Reg[j];
  30. Xin_Reg[0] <= Xin;
  31. end
  32. end
  33. //将对称系数的输入数据相加,同时将对应滤波器系数送人乘法器
  34. //为进一步提高运行速度,另外增加一级寄存器
  35. reg signed [12:0] Add_Reg[7:0];
  36. always@(posedge clk or negedge rst_n)begin
  37. if(!rst_n)begin
  38. for(i=0;i<8;i=i+1)
  39. Add_Reg[i] <= 13'd0;
  40. end
  41. else begin
  42. for(j=0;j<8;j=j+1)
  43. Add_Reg[j] <= {Xin_Reg[j][11],Xin_Reg[j]} + {Xin_Reg[15-j][11],Xin_Reg[15-j]};
  44. end
  45. end
  46. //与串行结构不同,另外需要实例化8个乘法器IP核
  47. //实例化有符号数乘法器IP核
  48. wire signed [11:0] coe [7:0];//滤波器12bit量化滤波器系数
  49. wire signed [24:0] Mout [7:0];//乘法器输出为25bit的数据
  50. //滤波器系数
  51. /*
  52. '000' 'FFD''00F''02E' 'F8B''EF9' '24E' '7FF'
  53. '7FF''24E''EF9''F8B''02E''00F''FFD''000'
  54. ans =
  55. 110
  56. 0 -3 15 46 -117 -263 590 2047 2047 590
  57. 1116
  58. -263 -117 46 15 -3 0
  59. */
  60. assign coe[0] = 12'h000;
  61. assign coe[1] = 12'hffd;
  62. assign coe[2] = 12'h00f;
  63. assign coe[3] = 12'h02e;
  64. assign coe[4] = 12'hf8b;
  65. assign coe[5] = 12'hef9;
  66. assign coe[6] = 12'h24e;
  67. assign coe[7] = 12'h7ff;
  68. // localparam coe[0] = 12'h000,
  69. // coe[1] = 12'hffd,
  70. // coe[2] = 12'h00f,
  71. // coe[3] = 12'h02e,
  72. // coe[4] = 12'hf8b,
  73. // coe[5] = 12'hef9,
  74. // coe[6] = 12'h24e,
  75. // coe[7] = 12'h7ff;
  76. //例化8个并行的乘法器
  77. mul u_mult0(
  78. .clock (clk ),
  79. .dataa (coe[0] ),
  80. .datab (Add_Reg[0] ),
  81. .result (Mout[0] )
  82. );
  83. mul u_mult1(
  84. .clock (clk ),
  85. .dataa (coe[1] ),
  86. .datab (Add_Reg[1] ),
  87. .result (Mout[1] )
  88. );
  89. mul u_mult2(
  90. .clock (clk ),
  91. .dataa (coe[2] ),
  92. .datab (Add_Reg[2] ),
  93. .result (Mout[2] )
  94. );
  95. mul u_mult3(
  96. .clock (clk ),
  97. .dataa (coe[3] ),
  98. .datab (Add_Reg[3] ),
  99. .result (Mout[3] )
  100. );
  101. mul u_mult4(
  102. .clock (clk ),
  103. .dataa (coe[4] ),
  104. .datab (Add_Reg[4] ),
  105. .result (Mout[4] )
  106. );
  107. mul u_mult5(
  108. .clock (clk ),
  109. .dataa (coe[5] ),
  110. .datab (Add_Reg[5] ),
  111. .result (Mout[5] )
  112. );
  113. mul u_mult6(
  114. .clock (clk ),
  115. .dataa (coe[6] ),
  116. .datab (Add_Reg[6] ),
  117. .result (Mout[6] )
  118. );
  119. mul u_mult7(
  120. .clock (clk ),
  121. .dataa (coe[7] ),
  122. .datab (Add_Reg[7] ),
  123. .result (Mout[7] )
  124. );
  125. //对滤波器系数与输入数据的乘法结果进行累加,并输出滤波后的数据
  126. //与串行结构不同,此处在一个时钟周期内直接将所有乘法器结果相加
  127. reg signed [28:0] sum1,sum2;
  128. reg signed [28:0] yout;
  129. //reg [3:0] k;
  130. // always @(posedge clk or negedge rst_n)begin
  131. // if(!rst_n)begin
  132. // sum = 29'd0;
  133. // yout <= 29'd0;
  134. // end
  135. // else begin
  136. // yout <= sum;
  137. // sum = 0;
  138. // for(k=0;k<8;k=k+1)
  139. // sum = sum + Mout[k];
  140. // end
  141. // end
  142. //采用两级流水线实现累加运算
  143. always @(posedge clk or negedge rst_n)begin
  144. if(!rst_n)begin
  145. sum1 <= 29'd0;
  146. sum2 <= 29'd0;
  147. yout <= 29'd0;
  148. end
  149. else begin
  150. sum1 <= Mout[0]+Mout[1]+Mout[2]+Mout[3];
  151. sum2 <= Mout[4]+Mout[5]+Mout[6]+Mout[7];
  152. yout <= sum1 + sum2;
  153. end
  154. end
  155. assign Yout = yout;
  156. endmodule

4.编写测试激励文件(略)

5.将仿真滤波器滤波后输出的数据写入txt文件中。

Modelsim仿真结果:

通过仿真我们可以发现大致实现了滤波性能,但还是不够直观,因此我们采用Matlab进行分析滤波性能。

6.采用Matlab分析经过滤波后的数据,分析滤波性能。代码(略)

运行结果为:

我们发现采用FPGA滤波前后的波形和Matlab仿真波形图基本一致,说明程序代码没问题,并行FIR滤波器运行速度大大提高,但消耗了大量的面积资源,用面积换取速度。最终设计满足要求。(昨晚就学完了并行滤波器,没来得及写抓紧补上)。

注:自学完杜勇的FIR滤波器所写。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/爱喝兽奶帝天荒/article/detail/945920
推荐阅读
相关标签
  

闽ICP备14008679号