当前位置:   article > 正文

Xilinx源语(Primitives)和宏(Macros)_xilinx macros

xilinx macros

源语(Primitives)-Xilinx库中最简单的设计元素。Primitives 基元是设计元素“原子”。 Xilinx原语的示例包括简单缓冲区BUF和带有时钟使能和清除功能的D触发器FDCE。
宏(Macros)-Xilinx库的设计元素“分子”。 可以从设计元素原语或宏创建宏。 例如,FD4CE触发器宏是4个FDCE原语的组合。

1源语分类

Xilinx的原语分为10类,包括:计算组件,IO端口组件,寄存器/锁存器,时钟组件,处理器组件,移位寄存器,配置和检测组件,RAM/ROM组件,Slice/CLB组件,G-tranceiver。具体原语资源更详尽描述请参考文档UG799以及UG768。

2源语调用

在这里插入图片描述
在这里插入图片描述

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/盐析白兔/article/detail/614498
推荐阅读
相关标签
  

闽ICP备14008679号