当前位置:   article > 正文

Verilog设计可逆计数器_可逆计数器verilog

可逆计数器verilog

module yzs_reveriblecounter(data,clk,clr,s,en,updn,count,cin);
input [3:0]data;
input clk,clr,s,en,updn;
output [3:0]count;
output cin;
reg [3:0]count;
reg cin;
always @(posedge clk)
begin
    if(clr) count<=0;
    else 
        begin
            if(s)
                count<=data;
            else
                begin
                    if(en)
                        begin
                            if(updn)
                                begin 
                                    if(count==15)
                                        begin count<=0;cin<=1;end
                                    else 
                                        begin count<=count+1;cin<=0;end
                                end
                            else
                              

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/盐析白兔/article/detail/776723
推荐阅读
相关标签
  

闽ICP备14008679号