赞
踩
reg [31:0] delay_cnt; //延时计数器
reg key_flag ; //按键标志
wire data_en; //数据使能信号
wire [7:0] data ; //数据
parameter DATA_WIDTH = 8; //数据位宽为8位
parameter WIDTH = 25 ;
parameter COUNT_MAX = 25_000_000;
reg [WIDTH-1:0] counter ;
wire counter_en ;
assign counter_en = (counter == (COUNT_MAX - 1'b1)) ? 1'b1 : 1'b0;
assign data = (data_en == 1'b1) ? 8'd255 : 8'd0;
always @(*) begin
if (en) begin
a = a0;
b = b0;
end
else begin
a = a1;
b = b1;
end
end
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。