当前位置:   article > 正文

vivado simulation仿真(38译码器实现)_vivadio实现3—8译码器的设计文件代码

vivadio实现3—8译码器的设计文件代码

第一步 新建工程

新建工程选择开发板,进入vivado界面,这里就不多说了。

第二步 添加design souce

这一步就是写我们要测试的Verilog模块,因为只是仿真,所以我们不需要综合和实现,也因此我个人认为,在design souce里面的文件就不需要按照顶层到底层的方式写,而是将需要测试的模块都放在里面。

这里我添加了两个文件,分别是两种方式实现的38译码器:
在这里插入图片描述
其中各个文件的代码如下:

//decoder_3_8.v

`timescale 1ns / 1ps
module decoder_3_8(add,decode);
input[3-1:0] add;
output[7:0] decode;
assign decode = 8'h1 << add;
endmodule
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
//decoder_3_8_2.v

`timescale 1ns / 1ps
module decoder_3_8_2(
	input wire[2:0] a,
	output reg[7:
  • 1
  • 2
  • 3
  • 4
  • 5
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/繁依Fanyi0/article/detail/437017
推荐阅读
相关标签
  

闽ICP备14008679号