当前位置:   article > 正文

FPGA 的数字信号处理:重写 FIR 逻辑以满足时序要求

fpga数字信号处理

aca4673ec2ffebc5d804b8cdcb554ceb.jpeg

97447a27a218c3b3a8eb1f85a488cb58.png

在上一篇文章中(FPGA 的数字信号处理:Verilog 实现简单的 FIR 滤波器)演示了在 Verilog 中编写自定义 FIR 模块的初始demo。该项目在行为仿真中正常,但在布局和布线时未能满足时序要求。

所以今天的文章让我们来看看当设计不能满足时序要求时如何分析并解决它。

af3703f59a80142d3278853e29794715.jpeg

当在目标 FPGA 芯片中布局和布线时,首先在 Vivado 中确定时序要求.

将 FIR 作为RTL 模块导入到block design中,其中通过AXI DMA 从存储器传输相位增量偏移值的DDS可以输入可变频率正弦曲线,这样就可以演示FIR的行为。

4f9749648dcece0511b432268d77fda7.png

在 Vivado 中综合布局布线并打开设计后,会弹出严重警告,告知设计不符合时序要求。

为了能够准确查看设计时序失败的原因,在已完成综合设计的底部窗口包含一个选项卡,用于 Vivado 在综合期间对设计执行的时序分析。当存在时序失败的信号路径时,用户可以过滤此时序分析以仅使用下图中显示的红色圆圈感叹号查看这些违规路径:

3ec85a67e2762f0fc06b03a89a3ad8d1.png

在这个特定的设计中,有几个信号路径未能达到其分配的时序,这意味着信号的物理距离太远而无法穿过芯片和/或在信号出去之前需要通过太多的逻辑级别。保持时间太长的信号意味着当将其计时到下一级寄存器中时,不能依赖它的值是否有效,从而使其余下游逻辑的行为不可靠/不可预测。

s_axis_fir_tdata在这种情况下,进入 FIR 模块的 AXI Stream 输入接口的数据信号需要很长时间才能到达m_axis_fir_tdata目标寄存器处的输出。要查看比屏幕底部的时序分析窗口中的内容更多的详细信息,右键单击底部时序分析窗口中的违规信号路径,然后选择“查看路径报告(View Path Report)”选项。然后,将能够看到 Vivado 如何计算出该信号的允许建立时间,并与它实际给出的 HDL 设计编写方式进行比较。这会给一些提示,说明是什么导致建立时间延长。然而,我发现要真正可视化保持时序违规比在示意图中查看信号会更直观。

da5e0155b892584ad44598b89e10ff7e.png

要在原理图中打开特定信号路径,再次右键单击底部时序分析窗口中的违规信号路径,然后选择“Schematic”选项。将打开一个新选项卡,显示信号路径在设计的物理布局中经过的逻辑。

在为axis_fir_tdata的数据总线中的一个位打开信号路径时,它揭示了设计在芯片中的布线,从图中可以看出信号必须通过 11 级逻辑串行后才能到达其目的地。

b142d76561d500f4bc861c5d401f4791.png

既然对已实施设计的分析已经揭示了哪些信号路径是哪个时序违规的问题,现在的问题是我们如何解决它?在这种情况下,很明显需要重新设计当前逻辑,以更并行的方式处理更小的数据块,从而缩短数据到其目标寄存器的总路径。

个人更喜欢在尝试编写任何实际的 Verilog 代码之前绘制出逻辑。当有这种设计执行的操作的可视化表示时,调试设计会容易得多,特别是对于跟踪此类时序违规等问题。

检查当前 FIR 模块的逻辑设计,其中数据总线违反了建立时序,很明显循环缓冲区串行填充然后将所有 15 个数据发送到累加块时,立即求和会产生大量的处理延迟。

50996b5d6a47345cefc2a79eb6e55944.png

核心的想法是尝试填充循环缓冲区,将每个缓冲区乘以适当的系数,最后一次性对 15 个算子的每一个求和,但是这次我们考虑重新设计逻辑,让循环缓冲区中仅花费乘法和累加(求和)两个寄存器一个级联的时间。

eb76a35ff2b8939637ab46a719d90b6c.png

新 FIR 模块的 Verilog 代码:

  1. `timescale 1ns / 1ps
  2. module FIR(
  3.     input clk,
  4.     input reset,
  5.     input signed [15:0] s_axis_fir_tdata, 
  6.     input [3:0] s_axis_fir_tkeep,
  7.     input s_axis_fir_tlast,
  8.     input s_axis_fir_tvalid,
  9.     input m_axis_fir_tready,
  10.     output reg m_axis_fir_tvalid,
  11.     output reg s_axis_fir_tready,
  12.     output reg m_axis_fir_tlast,
  13.     output reg [3:0] m_axis_fir_tkeep,
  14.     output reg signed [31:0] m_axis_fir_tdata
  15.     );
  16.     /* This loop controls tkeep signal on AXI Stream interface */
  17.     always @ (posedge clk)
  18.         begin
  19.             m_axis_fir_tkeep <= 4'hf;
  20.         end
  21.         
  22.     /* This loop controls tlast signal on AXI Stream interface */
  23.     always @ (posedge clk)
  24.         begin
  25.             if (s_axis_fir_tlast == 1'b1)
  26.                 begin
  27.                     m_axis_fir_tlast <= 1'b1;
  28.                 end
  29.             else
  30.                 begin
  31.                     m_axis_fir_tlast <= 1'b0;
  32.                 end
  33.         end
  34.     
  35.     // 15-tap FIR 
  36.     reg enable_fir;
  37.     reg signed [15:0] buff0, buff1, buff2, buff3, buff4, buff5, buff6, buff7, buff8, buff9, buff10, buff11, buff12, buff13, buff14;
  38.     wire signed [15:0] tap0, tap1, tap2, tap3, tap4, tap5, tap6, tap7, tap8, tap9, tap10, tap11, tap12, tap13, tap14; 
  39.     reg signed [31:0] acc0, acc1, acc2, acc3, acc4, acc5, acc6, acc7, acc8, acc9, acc10, acc11, acc12, acc13, acc14; 
  40.     
  41.     /* Taps for LPF running @ 1MSps */
  42.     assign tap0 = 16'hFC9C;  // twos(-0.0265 * 32768) = 0xFC9C
  43.     assign tap1 = 16'h0000;  // 0
  44.     assign tap2 = 16'h05A5;  // 0.0441 * 32768 = 1445.0688 = 1445 = 0x05A5
  45.     assign tap3 = 16'h0000;  // 0
  46.     assign tap4 = 16'hF40C;  // twos(-0.0934 * 32768) = 0xF40C
  47.     assign tap5 = 16'h0000;  // 0
  48.     assign tap6 = 16'h282D;  // 0.3139 * 32768 = 10285.8752 = 10285 = 0x282D
  49.     assign tap7 = 16'h4000;  // 0.5000 * 32768 = 16384 = 0x4000
  50.     assign tap8 = 16'h282D;  // 0.3139 * 32768 = 10285.8752 = 10285 = 0x282D
  51.     assign tap9 = 16'h0000;  // 0
  52.     assign tap10 = 16'hF40C; // twos(-0.0934 * 32768) = 0xF40C
  53.     assign tap11 = 16'h0000; // 0
  54.     assign tap12 = 16'h05A5; // 0.0441 * 32768 = 1445.0688 = 1445 = 0x05A5
  55.     assign tap13 = 16'h0000; // 0
  56.     assign tap14 = 16'hFC9C; // twos(-0.0265 * 32768) = 0xFC9C
  57.     
  58.     /* This loop controls tready & tvalid signals on AXI Stream interface */
  59.     always @ (posedge clk)
  60.         begin
  61.             if(reset == 1'b0 || m_axis_fir_tready == 1'b0 || s_axis_fir_tvalid == 1'b0)
  62.                 begin
  63.                     enable_fir <= 1'b0;
  64.                     s_axis_fir_tready <= 1'b0;
  65.                     m_axis_fir_tvalid <= 1'b0;
  66.                 end
  67.             else
  68.                 begin
  69.                     enable_fir <= 1'b1;
  70.                     s_axis_fir_tready <= 1'b1;
  71.                     m_axis_fir_tvalid <= 1'b1;
  72.                 end
  73.         end
  74.     
  75.     reg [3:0] cnt;
  76.     reg signed [31:0] acc01, acc012, acc23, acc34, acc45, acc56, acc67, acc78, acc89, acc910, acc1011, acc1112, acc1213;
  77.     
  78.     /* Circular buffer w/ Multiply & Accumulate stages of FIR */
  79.     always @ (posedge clk or posedge reset)
  80.         begin
  81.             if (reset == 1'b0)
  82.                 begin
  83.                     m_axis_fir_tdata <= 32'd0;
  84.                 end
  85.             else if (enable_fir == 1'b1)
  86.                 begin
  87.                     buff0 <= s_axis_fir_tdata;
  88.                     acc0 <= tap0 * buff0;
  89.                     
  90.                     buff1 <= buff0; 
  91.                     acc1 <= tap1 * buff1;  
  92.                     acc01 <= acc0 + acc1;
  93.                          
  94.                     buff2 <= buff1; 
  95.                     acc2 <= tap2 * buff2;
  96.                     acc012 <= acc01 + acc2;
  97.                             
  98.                     buff3 <= buff2; 
  99.                     acc3 <= tap3 * buff3;
  100.                     acc23 <= acc012 + acc3;
  101.                          
  102.                     buff4 <= buff3; 
  103.                     acc4 <= tap4 * buff4;
  104.                     acc34 <= acc23 + acc4;
  105.                          
  106.                     buff5 <= buff4;
  107.                     acc5 <= tap5 * buff5; 
  108.                     acc45 <= acc34 + acc5;
  109.                           
  110.                     buff6 <= buff5; 
  111.                     acc6 <= tap6 * buff6;
  112.                     acc56 <= acc45 + acc6;
  113.                        
  114.                     buff7 <= buff6; 
  115.                     acc7 <= tap7 * buff7;
  116.                     acc67 <= acc56 + acc7;
  117.                           
  118.                     buff8 <= buff7;
  119.                     acc8 <= tap8 * buff8;
  120.                     acc78 <= acc67 + acc8;
  121.                            
  122.                     buff9 <= buff8; 
  123.                     acc9 <= tap9 * buff9;
  124.                     acc89 <= acc78 + acc9;
  125.                           
  126.                     buff10 <= buff9; 
  127.                     acc10 <= tap10 * buff10;
  128.                     acc910 <= acc89 + acc10;
  129.                            
  130.                     buff11 <= buff10; 
  131.                     acc11 <= tap11 * buff11;
  132.                     acc1011 <= acc910 + acc11;
  133.                           
  134.                     buff12 <= buff11; 
  135.                     acc12 <= tap12 * buff12;
  136.                     acc1112 <= acc1011 + acc12;
  137.                           
  138.                     buff13 <= buff12; 
  139.                     acc13 <= tap13 * buff13;
  140.                     acc1213 <= acc1112 + acc13;
  141.                           
  142.                     buff14 <= buff13; 
  143.                     acc14 <= tap14 * buff14;
  144.                     m_axis_fir_tdata <= acc1213 + acc14;
  145.                     
  146.                 end
  147.         end
  148.     
  149. endmodule

使用新 FIR 模块的 Verilog 代码重新运行综合布局布线后就可以产生满足所有时序要求的设计。打开之前违反建立时序的相同数据信号路径的原理图,可以直观地证明信号路径是如何整体缩短的。

新原理图显示axis_fir_tdata总线中每个位的信号路径都被并行处理,有效地缩短了它们到达目标寄存器的时间,这就是减少信号建立时间的原因。

b013aa87bbde6079eb72d40b9b88c1d9.png

当新设计满足时序要求时,接下来就是验证重写后的逻辑是否仍然与旧逻辑一样。重新运行行为仿真将很快回答这个问题。

由于希望用新代码替换之前的逻辑,发现设置断点并在更新波形图时单步执行 Verilog 的每一行的功能可以实现我们的目的。

启动行为仿真后,会注意到 HDL 的每条有效行的行号右侧都有一个红色圆圈。单击这些红色圆圈之一将启用该行上的断点。

2ef3a4a70cd7caa9fb42bfdcbf824aea.png

当仿真运行并遇到该断点时,可以使用顶部工具栏中的“步进”按钮(如下所示)或 F8 来逐步执行剩余的代码行。

e751868f2bf347d64b8e6cd61a243fb1.png

最后,我们看到 FIR 滤波器的新逻辑设计确实按预期运行!

6198f80a63810a81984ce1804fc5269f.png

总结

上面的两个例子能证明在目标 FPGA 芯片上,最终输出相同结果的两种不同的 HDL 编写方式对时序影响不同的重要性。这就是为什么在编写代码脑中“有电路”是很重要的原因。

一本Verilog HDL代码对应电路的书,助你快速编写可综合模型

c231f96c95faad330ab7c341d0be3608.jpeg

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/繁依Fanyi0/article/detail/676204
推荐阅读
相关标签
  

闽ICP备14008679号