赞
踩
图 1
下面我们开始进行 FIFO 参数的配置。我们需要先选则框 4 中的“No”将其设置为 DCFIFO 后才能够继续配置其他参数。其中:
图 2
图 3
图 5
图 6
图 7
最后就能生成我们的实例化模板了。
下面是调用代码:
- `timescale 1ns/1ns
-
- module fifo
- (
- //如果端口信号较多,我们可以将端口信号进行分组
- //把相关的信号放在一起,使代码更加清晰
- //FIFO写端
- input wire wrclk , //同步于FIFO写数据的时钟50MHz
- input wire [7:0] pi_data , //输入顶层模块的数据,要写入到FIFO中
- //的数据同步于wrclk时钟
- input wire pi_flag , //输入数据有效标志信号,也作为FIFO的
- //写请求信号,同步于wrclk时钟
- //FIFO读端
- input wire rdclk , //同步于FIFO读数据的时钟25MHz
- input wire rdreq , //FIFO读请求信号,同步于rdclk时钟
-
- //FIFO写端
- output wire wrempty , //FIFO写端口空标志信号,高有效,
- //同步于wrclk时钟
- output wire wrfull , //FIFO写端口满标志信号,高有效,
- //同步于wrclk时钟
- output wire [7:0] wrusedw , //FIFO写端口中存在的数据个数,
- //同步于wrclk时钟
- //FIFO读端
- output wire [15:0] po_data , //FIFO读出的数据,同步于rdclk时钟
- output wire rdempty , //FIFO读端口空标志信号,高有效,
- //同步于rdclk时钟
- output wire rdfull , //FIFO读端口满标志信号,高有效,
- //同步于rdclk时钟
- output wire [6:0] rdusedw //FIFO读端口中存在的数据个数,
- //同步于rdclk时钟
- );
-
- dcfifo_256x8to128x16 dcfifo_256x8to128x16_inst
- (
- .data (pi_data), //input [7:0] data
- .rdclk (rdclk ), //input rdclk
- .rdreq (rdreq ), //input rdreq
- .wrclk (wrclk ), //input wrclk
- .wrreq (pi_flag), //input wrreq
-
- .q (po_data), //output [15:0] q
- .rdempty(rdempty), //output rdempty
- .rdfull (rdfull ), //output rdfull
- .rdusedw(rdusedw), //output [6:0] rdusedw
- .wrempty(wrempty), //output wrempty
- .wrfull (wrfull ), //output wrfull
- .wrusedw(wrusedw) //output [7:0] wrusedw
- );
-
- endmodule
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。