当前位置:   article > 正文

zynq的PL向PS提供时钟和复位_蚂蚁t9+原理图

蚂蚁t9+原理图

1、前言

最近买了一块矿卡蚂蚁T9+,它的资源比EBAZ4205丰富。
需要矿卡资料包的朋友可以从这下载。里面包含蚂蚁T9+和EBAZ4205原理图和几个EBAZ4205例程,还有一些相关的pdf文档。
link

首先从fpga学起,可惜PL没有焊晶振,只好从PS端引,下面以点灯为例。

2、创建工程

打开vivado,工具栏file --> project --> new 然后一路next,器件选择xc7z010clg400-1,在最左侧点击create block designer,然后点击右侧+,添加zynq system process IP核,双击IP核,进入配置界面,可以熟悉了解各种配置,直接默认,回到IP核界面,一定要选种IP核的FCLK_CLK0和FCLK_RESET0_N ,右键选择make connection.然后在sources中选择IP核,右键选择create HDL Wrapper。
在工程上右键添加资源文件,添加verilog文件,加入点灯程序

module led_shark(   
    output      led
    );

wire sys_clk;
wire sys_rst_n;

sys_core led_use
(
    .FCLK_CLK0_0(sys_clk),
    .FCLK_RESET0_N_0(sys_rst_n)
 );

reg  [25:0] cnt;

assign led = (cnt < 26'd25_000_000)? 1'b0 : 1'b1;   

always@ (posedge sys_clk or negedge sys_rst_n)
begin 
    if(!sys_rst_n)
     begin
        cnt <= 26'd0;
     end
    else if(cnt < 26'd50_000_000)
     begin
        cnt <= cnt + 1'b1;
     end
    else
     begin
        cnt <= 26'd0;
     end
end

endmodule

  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
  • 27
  • 28
  • 29
  • 30
  • 31
  • 32
  • 33
  • 34
  • 35

再创建XDC引脚约束文件,保存,编译,生成bitstream。FPGA部分完成。菜单栏file --> export hardware.然后launch sdk,一定要选择include bitstream.进入SDK(ecplise)后,菜单栏file --> new --> application project,创建一个空工程,在自己工程src文件夹中新建main.c,然后输入

#include "xparameters.h"
#include "xplatform_info.h"

int main(void){
  while(1){
  }
}
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7

至此所有工程创建完成。

3、运行

将板子上电,接上仿真器,在SDK中,点击菜单栏xinlinx --> programe fpga后,再依次点击工具栏的build 和 run,然后可以看见板子上的灯闪烁。
工程源码:link

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/花生_TL007/article/detail/478921
推荐阅读
相关标签
  

闽ICP备14008679号