搜索
查看
编辑修改
首页
UNITY
NODEJS
PYTHON
AI
GIT
PHP
GO
CEF3
JAVA
HTML
CSS
搜索
菜鸟追梦旅行
这个屌丝很懒,什么也没留下!
关注作者
热门标签
jquery
HTML
CSS
PHP
ASP
PYTHON
GO
AI
C
C++
C#
PHOTOSHOP
UNITY
iOS
android
vue
xml
爬虫
SEO
LINUX
WINDOWS
JAVA
MFC
CEF3
CAD
NODEJS
GIT
Pyppeteer
article
热门文章
1
【MySql】 MySQL中的MAX()和MIN()函数的高效使用
2
Java 接口学习
3
【消息中心】kafka消费失败重试10次的问题_kafka消费错误一直重试
4
人工智能与大数据:未来的合作与挑战
5
Adaboost,GBDT,Xgboost,RF,LR,SVM等繁多算法如何选择_lr和xgboost哪个作为精排模型效果好
6
下载AOSP源码编译、调试、刷机_aosp_arm64-exp-u1b1.230908.003-10811961-24d7d0fa
7
git无法推送到github 403错误解决方案_git提交403错误
8
Vue项目前端或后台实现导出报表_前端页面输出报告怎么做
9
meterpreter下抓取windows系统明文密码实验_meterpreter获取windows密码
10
Flutter 多引擎支持 PlatformView 以及线程合并解决方案_flutter 图片合并
当前位置:
article
> 正文
vivado IP integrator 中的调试流程
作者:菜鸟追梦旅行 | 2024-04-17 18:31:22
赞
踩
vivado IP integrator 中的调试流程
IP integrator
中的调试流程
Vivado IP integrator
中的
System ILA IP
允许您对
FPGA
或
ACAP
上的实现后设计执行系统内调试。如需监控
IP
integrator
块设计中的接口和信号
,
请使用此功能。此功能支持您在
Vivado
硬件管理器中对
AXI
读写、数据和地址通
道事件以及
AXI
读写传输事务进行调试。
注释
:
在
Versal
器件上
,
使用
ILA
核时支持所有
System ILA
功能
,
并且可通过将“
ILA
输入类型
(ILA Input Type)
”从
Net Probes
更改为
Interface Monitor
来选择相应的功能。
请访问此
链接
并参阅《
Vivado Design Suite
用户指南
:
采用
IP integrator
设计
IP
子系统》
(
UG994
)
中的相应内容
,
以
了解在块设计中调试接口和
/
或信号线的步骤。
在
IP integrator
块设计中调试信号线和接口
在
IP integrator
块设计画布中
,
可调试信号线和接口。如下所示
,
您可在块设计中右键单击接口或信号线
,
并选择“调
试
(Debug)
”。这样即可将“
Debug
”和“
MARK_DEBUG
”属性设为
true
。此外
,
这样还可启用“设计辅助
(Designer
Assistance)
”以运行“自动连接功能
(Connection Automation)
”
,
以供您选择连接到
System Interface ILA
核的信号线
和
/
或接口
,
并可自定义调试核的各属性。
要清除调试属性的信号线和
/
或接口
,
请右键单击信号线或接口
,
然后单击“
Clear Debug
”。
在已综合的设计内查看
System ILA
调试核
IP integrator
块设计中的
System ILA IP
必须例化。下图所示快照中的块设计包含
2
个已例化的调试核
:
System ILA
和
JTAG to AXI Master IP
核。
在此块设计完成验证和综合后
,
您可在已综合的设计中打开“调试
(Debug)
”窗口以查看已例化并插入设计的调试核。
System ILA
和
JTAG to AXI Master
调试核如下所示。
如需了解有关如何使用这些接口在硬件管理器中进行调试以及如何利用
AXI
事件级调试的详细信息
,
请参阅“在硬件
管理器中调试
AXI
接口”。
对包含调试核的设计执行实现
Vivado
软件最初以黑盒形式创建
Debug Hub
核。必须先实现该核
,
然后才能运行布局器和布线器。
实现设计
在
Vivado Design Suite
中单击“
Run Implementation
”或者运行以下
Tcl
命令来实现包含调试核的设计
:
launch_runs impl_1
wait_on_run impl_1
您也可以使用实现命令
opt_design, place_design
和
route_design
来实现设计。如需了解有关各种设计实现
方法的更多详细信息
,
请参阅《
Vivado Design Suite
用户指南
:
实现》
(
UG904
)
。
ILA
核和时序考虑因素
ILA
核的配置会对能否满足整体设计时序目标产生影响。请根据下列建议进行操作
,
以便最大程度减少对时序的影响
:
• 请审慎选择探针宽度。随探针宽度增加
,
对资源利用率和时序的影响也会增大。
• 请审慎选择
ILA
核数据深度。随数据深度增加
,
对块
RAM
资源利用率和时序的影响也会增大。
• 请确保为
ILA
核选择的时钟均为自由运行的时钟。否则可能造成在器件上加载设计时无法与调试核通信。
• 在添加调试核之前完成设计上的时序收敛。赛灵思不建议使用调试核调试相关时序问题。
• 请确保输入到
ILA
核的时钟与正在探测的信号同步。否则在设计编程到器件中时会产生时序问题并导致通信失败。
• 在硬件上运行设计之前请确保设计已满足时序要求。否则会导致结果不可靠。
•
对于非
Versal
架构
:
请确保提供给
dbg_hub
的时钟为自由运行的时钟。否则可能造成在器件上加载设计时无法与
调试核通信。可使用
connect_debug_port
Tcl
命令将
Debug Hub
的
clk
管脚连接到自由运行的时钟。
•
对于非
Versal
架构
:
如果仍发现因添加
ILA
调试核而导致时序劣化
,
并且关键路径位于
dbg_hub
中
,
请执行以下
步骤
:
1.
打开已综合的设计。
2.
找到网表中的
dbg_hub
单元。
3.
转至
dbg_hub
的“
Properties
”选项卡。
4.
找到
C_CLK_INPUT_FREQ_HZ
属性。
5.
将其设置为连接到
dbg_hub
的时钟频率
(Hz)
。
6.
找到
C_ENABLE_CLK_DIVIDER
属性并将其启用。
7.
重新执行设计实现。
声明:
本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:
https://www.wpsshop.cn/w/菜鸟追梦旅行/article/detail/441610
推荐阅读
article
Vitis
HLS
构建项目并生成IP核(
Vivado
HLS
)_
vitis
hls
introdu...
Vitis
HLS
构建项目并生成IP核(
Vivado
HLS
)_
vitis
hls
introductory exam...
赞
踩
article
vivado
HLS学习之
数据类型
使用_
ap
_
int
...
C、C++语言中
数据类型
为8bit整数倍如: • char (8-bit) • short (16-bit) •
int
...
赞
踩
article
Vivado
HLS
ap
_
fixed
数据类型...
任意精度定点数定点数C++ Arbitrary Precision Fixed Point Types的缩写就是
ap
_f...
赞
踩
article
Vivado
HLs中任意精度
数据类型
的处理方法
_
x
_
complex
_
fixed
>...
我们在设计硬件时,它往往是要求更精确的位宽。例如,一个filter的输入是12位和一个累加器的结果只需要一个最大范围为2...
赞
踩
article
VIVADO
SDK
的
使用
...
的各种可配置块,其中灰色部分是固定的, 绿色部分是可配置的,按工程实际需求配置。用于设置生成过程中要
使用
的处理器的线程数...
赞
踩
article
vivado
使用
模拟
源、
添加
和
创建
仿真源
文件
_
vivado
如何
添加
文件
列表...
vivado
使用
模拟
源、
添加
和
创建
仿真源
文件
_
vivado
如何
添加
文件
列表
vivado
如何
添加
文件
列表 ...
赞
踩
article
Vivado
和
Modelsim
联合仿真设置_
modelsim
vivado
protected
...
1.2.3.4._
modelsim
vivado
protected
modelsim
vivado
protected
...
赞
踩
article
【
Vivado
—
—
仿真
】
vivado
与
modelsim
的
联合
仿真
_
vivado
如何和
modelsim
...
vivado
软件中也自带
仿真
工具,但用了几天之后感觉
仿真
速度有点慢,至少比
modelsim
慢挺多
的
。而
modelsim
是...
赞
踩
article
Vivado
与
Modelsim
联合仿真配置【
图文并茂
】_
ubuntu
vivado
modelsim
...
本文讲述在安装完
Vivado
和
Modelsim
后,如何编译关联仿真库的操作步骤。在开始配置之前,请先安装好
Vivado
和...
赞
踩
article
vivado
与
modelsim
的联合
仿真
_
modism
仿真
vivado
...
目的:在学习Xilinx的FPGA的时候,我花了很大功夫才搞定了
vivado
和
modelsim
的破解以及他们的联合
仿真
...
赞
踩
article
Vivado HLS问题记录一:
c
/
rtl
联合
仿真
_
hls
c
/
rtl
协同
仿真
无法完成...
使用HLS进行C
仿真
和运行都没问题,但无法进行C/RTL联合
仿真
,报错如下我也不知道为什么出现这种错,但是如果顶层函数是...
赞
踩
article
FPGA
开发
软件
(
vivado
+
modelsim
)环境搭建(附详细安装步骤+
软件
下载
)_viva...
本文详细介绍了
vivado
软件
和
modelsim
软件
的安装,以及
vivado
中配置
modelsim
仿真设置,每一步都加文...
赞
踩
article
Vivado
&
Modelsim
联合进行
UVM
仿真
指南_
vivado
uvm
...
Vivado
&
Modelsim
联合进行
UVM
仿真
指南_
vivado
uvm
vivado
uvm
...
赞
踩
article
Vivado
2019.01与
Modelsim
10.07的联合
仿真
_
vivado
2019.1
对应
...
Vivado
软件中也自带
仿真
工具
仿真
速度是真的慢,至少比
Modelsim
慢挺多的。而
Modelsim
是我比较熟悉的一款仿...
赞
踩
article
基于
vivado
实现
FFT
/
I
FFT
_
fft
vivado
...
提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档文章目录前言一、实现的功能二、配置1.调用DDS的IP核...
赞
踩
article
VIVADO
-
FFT
IP
核
学习记录...
② 找到Customizing and Generating the Core(不同手册可能题目不一样),查看
IP
核
的创...
赞
踩
article
基于
Xilinx
vivado
FFT
ip
进行
信号
频谱测量_
xilinx
官方
fft
...
本文详细解析了
Xilinx
的
fft
ip
的使用,并在文章中给出了完整代码。
FFT
ip
输入为时域信息,而输出为
信号
的频域...
赞
踩
article
vivado
保存
仿真
波形
_
vivado
保存
仿真
波形
数据...
vivado
波形
操作小技巧:如何
保存
波形
_
vivado
保存
仿真
波形
数据
vivado
保存
仿真
波形
数据 ...
赞
踩
article
【技巧】
Vivado
仿真
器
simulation
显示模拟
波形
图
(非数字
波形
)_
vivado
仿真
波形
图
...
在使用
Vivado
软件时经常需要对程序进行
仿真
,使用Simulation时可以设置不同的显示
波形
如数字
波形
或者模拟信号的...
赞
踩
article
FPGA
学习笔记:
Vivado
simulation
仿真
波形
二进制
显示_
vivado
仿真
波形图怎...
FPGA
学习笔记:
vivado
仿真
二进制
显示 _
vivado
仿真
波形图怎么弄出来
vivado
仿真
波形图怎么弄出来 ...
赞
踩
相关标签
fpga开发
vivado hls
vitis hls
Vivado-Hls
高级综合
c语言
编辑器
硬件工程
modelsim
vivado
联合仿真
破解
fpga
verilog
VHDL
fpga/cpld
Vivado
uvm
fft