搜索
查看
编辑修改
首页
UNITY
NODEJS
PYTHON
AI
GIT
PHP
GO
CEF3
JAVA
HTML
CSS
搜索
Monodyee
这个屌丝很懒,什么也没留下!
关注作者
热门标签
jquery
HTML
CSS
PHP
ASP
PYTHON
GO
AI
C
C++
C#
PHOTOSHOP
UNITY
iOS
android
vue
xml
爬虫
SEO
LINUX
WINDOWS
JAVA
MFC
CEF3
CAD
NODEJS
GIT
Pyppeteer
article
热门文章
1
“听说你是个程序员,不能把时间消耗在阅读微信公众号上”_微信公众号内容阅读时长
2
spring使用内置jetty创建提供http接口服务
3
python网络爬虫实战教学——requests的使用(2)
4
UR5 机器人 URDF 代码阅读
5
如何3分钟在 Windows 11 上启用 Copilot_win11 copilot
6
Mac电脑虚拟显示器:BetterDisplay Pro for Mac v2.0.11激活版
7
VUE2.0详解_vue2.0使用
8
docker部署nginx时,proxy_pass填localhost报错502_docker nginx 502
9
vue使用全景图(krpano)_vue全景图
10
Vue+Element UI 整合下拉目录树(popover+ tree+input)_elementui目录树
当前位置:
article
> 正文
嵌入式开发板硬件操作入门学习9——集成电路芯片手册术语词汇表(中英文对照)_peripheral level check
作者:Monodyee | 2024-03-27 17:40:50
赞
踩
peripheral level check
原创链接
:
集成电路芯片半导体中英文对照术语词汇表
英语
中文
1-9
10 gigabit
10 Gb
1st Nyquist zone
第一奈奎斯特区域
3D full‑wave electromagnetic solver
3D 全波电磁解算器
3-state
三态
4th generation segmented routing
第四代分层布线技术
5G commercialization
5G 商用
7 series FPGA
7 系列 FPGA
A
Absolute Maximum Rating
绝对最大额定值
academic
学术
accelerated flow
加速流程
acceleration
加速
accelerator
加速器
accelerator card
加速器卡
acceptance filter
验收过滤器
Access lounge
用户访问专区
Accessible
方便易用
accumulator
累加器
activation
激活
active clock edge
有效时钟沿
active cooling
主动散热
Active Cooling
主动散热
active I/O termination
有源 I/O 终端
active interconnect
有源互连 (Active Interconnect)
active partial reconfiguration
动态部分重配置
Active Power
有功功耗
Active State Power Manager (ASPM)
活动状态功耗管理器 (ASPM)
active-High
高电平有效
active-Low
低电平有效
adaptable
打造灵活
Adaptable Computing Acceleration Platform
自适应计算加速平台
adaptation time
调整时间
Adaptive Computing
自适应计算
adaptive delay
自适应延迟
adder
加法器
adder-chain
加法链
additional topics
其它内容
address
地址
address map
地址映射
Address Resolution Protocol (ARP)
地址解析协议 (ARP)
Adjacent channel leakage ratio
相邻信道泄漏比
adoption option
选购方案
Advanced Driver Assistance System
高级驾驶辅助系统 (ADAS)
Advanced Linux Sound Architecture (ALSA)
先进的 Linux 音频架构 (ALSA)
Advanced Peripheral Bus (APB)
高级外设总线 (APB)
advanced search
高级搜索
advanced silicon modular block
高级硅片组合模块
aerospace
航空航天
affinity
亲和性
aggregate
聚合
aggressor
干扰源
AI Engine
AI 引擎
air flow|airflow
气流
alarm
告警
alert
警报
algorithm
算法
algorithmic design
算法设计
all static power
全静态功耗
alpha blending
α 混合
Ambient Temperature
环境温度
American National Standards Institute
美国国家标准学会 (ANSI)
amplitude
振幅
Analog supply current
模拟供电电流
Analog supply voltage
模拟供电电压
analog-to-digital converter
模数转换器
analysis
分析
analyze
分析
anatomy
剖析
annotation
反标|注释
Answer Record
答复记录
anti-aliasing
抗混叠
anti-imaging
抗成像
anti-pad
反焊盘
Anti-Resonance
抗谐振
Anti-Tamper|anti-tamper (AT)
防篡改 (AT)
apertures
间隙
apparent sharpness
图像视觉清晰度
application
应用
application note
应用指南
Application processing Unit
应用处理单元
Application processing Unit (APU)
应用处理单元 (APU)
apply
应用
arbiter
仲裁器
arbitrator
仲裁器
architecture
架构
archive
存档
area
面积|区域|领域|逻辑资源
area efficiency
面积效率
argument
实参
Arm Trusted Firmware (ATF)|Arm Trusted Firmware
Arm 可信固件 (ATF)
array
阵列|数组
artificial intelligence (AI)
人工智能 (AI)
assembly
装配
assert
有效|断言
assert block
Assert 模块
assign
分配
assignment
赋值
associative property
结合律
asymmetric multi-processing (AMP)
非对称多核处理器 (AMP)
Asynchoronous Transfer Mode
异步传输模式 (ATM)
asynchronous
异步|异步的
Atomic Operation|Atomic Op
原子操作
Attachment Unit Interface
连接单元接口
Attenuation
衰减
attribute
属性
audio
音频
augment
增强
aultiply-add/subtract
乘累加/乘累减
authentication
验证
Authentication Certificate
身份验证证书
auto electronics
汽车电子
automatic bus width detection
自动总线宽度探测
Automatic Test Equipment (ATE)
自动测试设备 (ATM)
automotive
汽车
automotive temperature device
汽车级温度范围器件
auto-negotiation
自动协商
AUX power connector
AUX 电源连接器
Avionics
航空电子
AXI coherency extension
AXI 一致性扩展
AXI coherency extension (ACE)
AXI 一致性扩展 (ACE)
B
Back Pressure
反压
backgrounder
背景资料
back-off algorithm
退避算法
backplane
背板
backtick
反引号
bad frame
坏帧
ball fine pitch BGA
球栅精确栅距 (Ball Fine Pitch) BGA|Ball Fine Pitch BGA
ball fine pitch metal BGA - cavity down
球栅精确栅距金属 (Ball Fine Pitch Metal) BGA - Cavity Down|Ball Fine Pitch Metal BGA - Cavity Down
ball fine pitch thin BGA
球栅精确栅距薄型 (Ball Fine Pitch Thin) BGA|Ball Fine Pitch Thin BGA
ball grid array (BGA)
球形栅格阵列 (BGA)
ball metal BGA - cavity down
球栅金属 (Ball Metal) BGA - Cavity Down|Ball Metal BGA - Cavity Down
ball plastic BGA
球栅塑料 (Ball Plastic) BGA|Ball Plastic BGA
Balun
平衡转换器
bandwidth
带宽
bank
bank
banking
bank 分配
bare-metal|bare metal
裸机
base address
基址
base board
基础板
base logic partition
基本逻辑分区
Base System Builder Wizard
Base System Builder 向导
baseband
基带
baseline
基线
batch operation
批处理
battery
电池
battery backup
备份电池
battery life estimate
电池寿命估算
battery-backed RAM
电池供电式 RAM
baud rate
波特率
beaconing
信标
beamforming
波束成形
behavior simulation
行为仿真
behavioral level
行为级
Bell Labs Layered Space Time
贝尔实验室分层空时 (BLAST)
Bellagio OpenMAX Integration Layer (OMX IL)
Bellagio OpenMAX 集成层 (OMX IL)
benchmark
标准测试 (Benchmark)|标准测试
BER
误码率
best design practice
最佳设计实践
Best Practice
最佳实践
bias
偏差
bidirectional|bi-directional
双向
bifurcation
分叉
big endian
大字节序
Big Endian
大字节序
bin
二进制
bit
比特|位
bit depth
位深度
bit error
位(元)错误
bit-error rate tester
误码率测试器
bit-reverse algorithm
位反转算法
bitstream
比特流
bitwise logical functionality
按位逻辑功能
black key
黑密钥
blade connectivity
刀片连接功能
blind via
盲孔
block
块
block automation
块自动化设置
block diagram
原理图|模块框图
block memory
块存储器
block RAM
块 RAM
blocking
阻塞
blocking event
阻塞事件
board
电路板|开发板
board schematic
电路板原理图
board support package
板级支持包
Boards and Kits
开发板与套件
bond line thickness
粘合层厚度
bookkeeping data
簿记数据
boolean
布尔
boot
启动
boot image
启动镜像
boot image
启动镜像
boot loader
启动加载程序
boot sequence
启动顺序
bootloader
启动加载程序
bottleneck
瓶颈
Boundary Scan Cell Diagram
边界扫描单元原理图
boundary-scan mode
边界扫描模式
boundary-scan|boundary scan
边界扫描
bounding box
边界框
bracket
支架
BRAM
块 RAM|BRAM
branch
分支
breadth-first search
广度优先搜索
breakout
分接
breakpoint
断点
bring-up
初始化
broadband
宽带
broadband access
宽带接入
broadband fixed
固定宽带
broadcast
广播
broadcast address
广播地址
Broadcast Comm
广播通信
Broadcast Connectivity Kit
广播连接功能套件
brochure
宣传册
browse
浏览
browser
浏览器
bubble sort
冒泡排序
buffer
n. 缓冲器|v. 缓冲
buffer
缓冲区
buffered crossbar switch
缓冲式纵横交换机
bug
漏洞
build
构建
building block
构建模块
built-in Error Checking and Correction (ECC)
内置式纠错检 (ECC) 功能
bundle
捆绑
burst
突发
burst size
突发量
bus
总线
Bus Functional Model
总线功能模型
bus functional model
总线功能模型
bus interface
总线接口
bus-width detection pattern
总线宽度探测码形|总线宽度检测位
Bypass
旁路
byte
字节
byte- and word-wide configurations
单字节及多字节宽度配置
Byte Memory Planner
字节存储计划器
C
cable
电缆
cache
高速缓存
Cache Coherent Interconnect
高速缓存一致性互连
Cache Coherent Interconnect for Accelerators
加速器缓存一致性互连
cache flush
缓存刷新
cache hit
缓存命中
cage
外壳
calibration
校准
cancel
取消
capacitance
电容
capacitor
电容器
capture edge
捕获沿
carousel
数据轮播
carrier card
载卡
Carrier Class Ethernet Services
电信级以太网业务
carrier signal
载波信号
carry chain
进位链
carry logic
进位逻辑
carry propagation
进位传递
cascadable
可级联的
cascade
级联
cascade connection
级联连接
cascade-integrator-comb
级联积分梳状 (CIC)
cell
单元
cell bloating
单元膨胀
cellular network
蜂窝网络
ceramic BGA
陶瓷 (Ceramic) BGA|Ceramic BGA
ceramic Brazed CQFP
陶瓷铜焊 (Ceramic Brazed) CQFP|Ceramic Brazed CQFP
ceramic DIP
陶瓷 (Ceramic) DIP|Ceramic DIP
Ceramic Packaging and Pinout Specifications
陶瓷封装和管脚规范
ceramic PGA
陶瓷 (Ceramic) PGA|Ceramic PGA
ceramic quad
陶瓷方形 (Ceramic Quad)|Ceramic Quad
Ceramic Quad Flat Package (CQFP)
陶瓷四侧引脚扁平封装 (CQFP)
certification
认证
Change Notification
变更通知
change without risk
无风险设计修改
channel
通道|信道
channel bonding
通道绑定
channel matrix inversion
信道矩阵求逆
channel reordering
信道重新排序
characteristic impedance
特性阻抗
characterization
特性
characterization data
特性描述数据
Characterization Kit
特性描述套件
Characterization Kit
特性描述套件
check box
复选框
checklist
检查表
checkpoint
检查点
checkpoint verification
检查点验证
checksum offloading
卸载校验和|卸载校验和运算
cheksum
校验和
Chinese (Simplified)
简体中文
chip
芯片
chroma keying
色度键控
cipher block chaining
密码分组链接
circuit
电路
Circuitry
电路
circular buffer
圆形缓冲器
clamp diode
钳位二极管
clamshell
蛤壳
click
单击
clock
时钟
clock buffer
时钟缓存
clock capable
能够当作时钟信号
clock crossing
跨时钟
clock cycle
时钟周期
clock domain
时钟域
clock domain crossing|clock-domain-crossing
时钟域交汇
clock edge
时钟沿
clock enable
时钟使能
clock frequency
时钟频率
clock gating
时钟门控
clock input divide
时钟输入分频
clock interaction
时钟相关性
Clock Management
时钟管理|时钟控制
Clock Management Tile
时钟管理模块
clock net
时钟信号线
clock net
时钟信号线
clock network
时钟网络
clock network
时钟网络
clock pessimism removal (CPR)
时钟消极因素移除 (CPR)
clock phase
时钟相位
clock planning
时钟规划
clock polarity
时钟极性
clock rate
时钟速率
clock region
时钟区域
clock root
时钟根
clock sense
时钟敏感
Clock Spine
时钟轴
Clock throttling
时钟降频
clock topology
时钟拓扑
clock tree
时钟树
clocking
时钟|时钟设置
clock-phase shifting
时钟相位偏移
Cloud
云
CNN pruning
CNN 剪枝
cockpit
考核中心
code
代码|码|编码
code parameter
码参数
code snippet
代码片段
code word|codeword
代码字|码字
co-debug
协同调试
codec
编解码器
coding
编码
coefficient
系数
coherency
一致性
Coherent Hub Interface
一致性集线器接口
coherent mesh network
一致性网状网络
collision-avoidance algorithm
避撞算法
color space
色彩空间
command
命令
command-line
命令行
comma-separated value
逗号分隔值
Commodity SPI
商用 SPI
Common-mode rejection ratio
共膜抑制比
common-mode voltage
共模电压
communication
通信
communication link
通信链路
Community Relations
社区关系
commutative property
交换律
Company Fact Sheet
公司情况说明
comparator
比较器
compatibility
兼容性
compilation
编译
compile
编译
compile order list
编译次序列表
compiler
编译器
complementer
补码器
complex
复数
complex conjugate number
共轭复数
complex exponential
复指数
compliant
标准
component
组件
composable data center
可组合式数据中心
Composable Hardware
硬件可组合式
composite signal
复合信号
compression
压缩
computational storage
计算存储
computationally intensive
计算密集型
compute
计算
compute intensive
计算密集型
compute unit
计算单元
computer
计算机
computer peripheral
计算机外设
concatenate path
连接通路
concurrent assertion
并发断言
concurrent processing
并发处理
conduction-cooled
传导式散热
cone
椎
confidence
可信度
confidence level
信心级别
config
配置
configurable logic block
可配置逻辑块
configuration
配置|设置
Configuration Status Register (CSR)
配置状态寄存器 (CSR)
configuration storage device
配置存储器件
configure
配置|设置
conformal LEC
保形 LEC
congestion
拥塞
connection
联通|连接
connection automation
自动连接
connectivity
连接
Connectivity Card
连接功能卡
Connectivity Card
连接功能卡
Connectivity Kit
连接功能套件
Connectivity Kit
连接功能套件
connector
连接器
consideration
考虑因素
constant
常量|常数
constant
恒定
constraint
约束
constraint randomization
约束随机化
constraint set
约束集
Constraints Guide
约束指南
construct
构建|结构
constructor
构造函数
consumer
消费类|消费者
consumer function
使用者函数
container
容器
contention
争用
Contention
争用
context
上下文
control line
控制线路
controlled impedance
受控阻抗
controlled slew rate
可控转换速率
controller
控制器
convergent rounding
收敛的(无偏)舍入
conversion specifier
转换说明符
conversion-free
免转换
converter
转换器
conveyer belt
输送带
convolutional interleaver
卷积交织
co-processing
协处理
core
核
core inserter
核插入器
correct-by-construction
自动建构校正
correlator
相关器
co-simulation
协同仿真
cost function
成本函数
cost-optimized
成本优化
counter
计数器
Course Description
课程介绍
course schedule
课程安排
coverage
覆盖率
Create Import Peripheral
创建和导入外设 (CIP)
criteria
标准
critical path
关键路径
cross probe|cross-probe
交叉探测
cross probing|cross-probing
交叉探测
cross triggering
交叉触发
crossbar
交叉开关矩阵
cross-compiled
交叉编译
crossing
跨|交汇
crosspoint
交叉点
crosspoint switch
交叉点交换机
Crosstalk
串扰
crystal oscillator
晶体振荡器|晶振
crystal resonator
晶体谐振器
current draw
电流汲取
Curriculum Path
课程路径
custom
定制
custom IP development
定制 IP 开发
customer
客户
customer case study
客户案例研究
Customer Notice
客户通知
customer notification
客户通知
customize
自定义
cycle
周期|循环
cyclic prefix insertion
周期前缀插入
Cyclic Redundancy Check
循环冗余校验
D
data
数据
data beat
数据节拍
data bit location
数据比特位置
Data Cable
数据线缆
Data Cable
数据线缆
data center
数据中心
data center
数据中心
data communication
数据通信
data flow
数据流
data hungry
需要大量数据的
data line
数据线
data mask
数据掩码
data path|datapath
数据路径
data preamble
数据前同步码
data regeneration controller
数据再生控制器
data sheet
数据手册
data sheet|datasheet
数据手册
data starvation
数据不足
database
数据库
data-bus turnaround penalty
数据总线转换损耗
dataflow
数据流
datapath width
数据路径宽度
Daughter Card
子卡
Daughter Card
子卡
DC and AC Switching Characteristic
DC 和 AC 开关特性
DC and Switching Characteristics
直流及开关特性
DC blocking capacitor
隔直电容
deassert|de-assert
无效|解除有效
deblocker
去块效应
deblocking filter
去块滤波器
debug
调试
Debug Card
调试卡
debug channel
调试通道
debug core
调试核
debugger
调试器
debugging
调试
decimal radix
十进制基数
decimating filter
抽取滤波器
decimation
抽取
decision feedback equalization
判定反馈均衡
decoder
解码器
decompose
分解
decomposition
分解
decoupling capacitor
去耦电容
decryption
解密
dedicated fallback reconfiguration logic
专用的回读重配置逻辑
deep
深|深度
Deep-learning Processing Unit (DPU)
深度学习处理器 (DPU)
Defect Detection
缺陷检测
defense
国防
defense-grade
军用级
definition
定义
degrade
劣化
deinterleaver
去交织器
delay
延迟
delay interval
延迟间隔
Delay Locked Loop (DLL)
延迟锁相环 (DLL)
delineation
界定
demanding signal-processing
高强度信号处理
demapper
解映射器
demo
演示
demoboard|demo board
演示板
demodulator
解调器
de-mosaic
解拼
density
器件密度|容量
dependency
相依性
dependency property
相关属性
deployment
部署
depth
深|深度
de-puncturing
去穿孔
derandomizer
解随机函数发生器
descrambler
解扰器
description
描述|说明
deserializer
解串器
design
设计
Design Advisory
设计咨询
design automation
设计自动化
design closure
设计收敛
design closure
设计收敛
design cycle
设计周期
design dynamic power
设计动态功耗
design entry
设计输入
design environment
设计环境
design flow
设计流程
design hierarchy
设计层
Design Hub
设计中心
Design Kit
设计套件
design margin
设计余量
design methodology
设计方法
Design Methodology Checklist
设计方法检查表
design preservation
设计保存
design process
设计进程
design reuse
设计复用
design rule check
设计规则检查
designer
设计师
Designer Assistance
设计辅助
Designer Assistent
设计辅助
designer automation
设计自动化
destructor
析构函数
deterministic data
确定性数据
developer
开发者
development
开发
development board|Development Board
开发板
development cycle
开发周期
development environment
开发环境
Development Kit
开发套件
development package
开发包
device
器件
device configuration
器件配置
device cost
器件成本
device family
器件系列
device manager
器件管理器
device packaging
器件封装
device power down
器件省电模式
device tree
设备树
device tree blobs
设备树二进制对象 (dtb)
diagonal cell
对角线单元
diagonal interconnect
对角互连
dialog box
对话框
die
裸片
die size
裸片尺寸
die-attach
裸片粘结
Dielectric
电介质|介电
Dielectric Loss
介电损耗
dielectric material
电介质材质
die-to-die
裸片之间的
die-to-die bandwidth
裸片之间的带宽
differential clock
差分时钟
Differential nonlinearity
差分非线性
differential pair
差分(信号)对
Differential Power Analysis (DPA) attack
差分功耗分析 (DPA) 攻击
differential swing control
差分摆幅控制
Digital Clock Manager
数字时钟管理器
Digital down converter
数字下变频器
digital downconverter
数字下变频器
Digital Pre-Distortion (DPD)
数字预失真 (DPD)
digital radio system
数字无线电系统
Digital Rights Management (DRM)
数字版权管理 (DRM)
Digital Signal Processing (DSP)
DSP|Digital Signal Processing (DSP)|数字信号处理 (DSP)
Digital Step
数字步进
Digital supply voltage
数字供电电压
digital TV
数字电视
digital up converter
数字上变频器
Digital Video Technology (DVT)
数字视频技术 (DVT)
digitally controlled impedance
数控阻抗
direct form FIR filter
直接型 FIR 滤波器
Direct Memory Access (DMA)
直接存储器访问 (DMA)
direct RF platform
直接 RF 采样平台
directive
指令|指示
directory
目录
disable
禁用
discrete
离散
Discrete Jitter
离散抖动
dissipation factor
损耗因子
dissolve
消隐
distortion
失真
distributed LUT RAM option
分布式 LUT RAM 选项
distributed RAM
分布式 RAM
distribution
分布
distributor
分销商|分布器
divided clock
分频时钟
dividend
被除数
divider
除法器
division ratio
分频比
divisor
除数
documentation
文档
domain
域
Domain-Specific Architectures (DSAs)
领域专用架构 (DSA)
Domain-Specific Architectures (DSAs)
领域特定架构 (DSA)
Donation Request
捐赠请求
dongle
硬件保护装置
doping
掺杂
Dot Product
点积
Double In-line Package (DIP)
双列直插式封装 (DIP)
double-bit error detection
双比特错误检测
double-click
双击
double-data-rate
双倍数据速率
double-width
双宽度
downgrade
降级
download
下载
downsampling FIR filter
降采样 FIR 滤波器
drain
漏极
drive
驱动
drive strength
驱动强度
driver
驱动
DSP processor
DSP 处理器
DSP prototyping hardware
DSP 原型硬件
dual inline memory module
双列直插式内存模块
Dual RAM
双口 RAM
dual role device (DRD)
双作用器件 (DRD)
dual-link HD-SDI
双链路 HD-SDI
dual-port
双端口
duty cycle
占空比
dynamic polymorphism
动态多态性
dynamic power
动态功耗
Dynamic Power Management
动态功耗管理
Dynamic Reconfiguration Port
动态重配置端口
E
early access
早期访问|抢先体验
echo server
响应服务器
Edge
端
Edge Resident Apps
用户端应用
edge detection
边缘检测
edge-sensitive
边沿敏感
edge-triggered
边缘触发
edit
编辑
effort level
工作量
elaborate
细化
elaboration
细化
elapsed time
运行时间
e-learning
在线学习
electrical characteristic
电气参数
electrical length
电气长度
electrolytic capacitor
电解电容
element
要素|元素
element
元件
embedded
嵌入式
embedded board|Embedded Board
嵌入式开发板
Embedded Development Kit (EDK)
嵌入式开发套件 (EDK)
Embedded Kit
嵌入式套件
Embedded Kit
嵌入式套件
embedded processing
嵌入式处理
embedded processor
嵌入式处理器
embedded software design
嵌入式软件设计
embedded system development
嵌入式系统开发
Emerging Standards & Protocols (eSP)
新兴标准和协议 (eSP)
emulation
仿真
enable
启用|使能
enclosure
机箱
encoder
编码器
encoding scheme
编码机制
encryption
加密
Endianness
字节序
endpoint
端点
endpoint layer
端点层
engine
引擎
Engineering Change Order (ECO)
工程变更单 (ECO)
entity
实体
enumerate
枚举
equation
方程|公式
equivalency checking formal verification
等效校验形式验证
errata
勘误表
error
错误
error correction
纠错
error correction checking
纠错检查
Error Correction Code
错误检查和纠正
error correction code
纠错码
Error Correction Code (ECC)
错误检查和纠正 (ECC)
error-checking code
错误检测码
error-correcting code
错误检查和纠正
error-correcting code (ECC)
错误检查和纠正 (ECC)
estimation
估算
ethernet
以太网
Ethernet Media Access Controller (MAC)
以太网媒体访问控制器 (MAC)
Euclidian distance (PED)
欧氏距离
evaluation board|Evaluation Board
评估板
Evaluation Card
评估卡
Evaluation Card
评估卡
Evaluation Kit
评估套件
evaluation kit|Evaluation Kit
评估板
even-odd jitter
奇偶抖动
exception
异常|例外
exception handling
异常处理
exception level
异常级别
excessive latency
时延过大
export
导出
express traffic
高速流量
extended memory
扩展存储器
extended temperature device
扩展级温度范围器件
extensible processing platform
可扩展处理平台
Extra Packages for Enterprise Linux (EPEL)
Linux 附加安装包 (EPEL)
Extra Packages for Enterprise Linux (EPEL)
企业级 Linux 附加安装包 (EPEL)
F
fabless
无工厂
fabric
结构
fabric channel
光纤通道
fabric clock
架构时钟
fact sheet
详细情况
fail-fast
快速失败
failing endpoint
故障端点
Fallback
回退
Fallback corruption
回退损坏
falling edge
下降沿
false path
伪路径
family key
族密钥
fanout
扇出
fast carry look-ahead chain
高速超前进位链
Fast Simplex Link
快速单工链路
Fast timing corner
快速时序角
feature
特点|功能|特性
feedback
反馈
feed-forward structure
前馈结构
feedthrough
馈通
Ferrite bead
铁氧体磁珠
Fibre channel
光纤通道
Field
现场
field
领域|字段
Field Programmable Controller
现场可编程控制器
field solver
场解算器
Field Upgradable System
现场可升级系统
file
文件
filter
筛选|滤波器|筛选工具
filtering
滤波
filtering application
滤波应用
filtering stage
滤波级
fine grain
高精度
fine granularity
细粒度
fine pitch
精确栅距
fine-grained I/O banking
高精度 I/O 分组
fine-grained increments of delay
精细延迟增量
fine-grained phase shifting resolution
精细相移分辩率
finite impulse response (FIR)
有限脉冲响应
Finite State Machine
有限状态机
firewall trip
防火墙脱扣
firmware
固件
first-stage boot loader (FSBL)|first-stage boot loader
第一阶段启动加载程序 (FSBL)
fitting report
适配报告
fixed-point
定点
fixed-rate mode
固定速率模式
flash
闪存
flash
烧写
flash memory
闪存
flight delay
飞行延迟
flip-chip package
Flip-chip 封装
flip-flop
触发器
floating license
浮动许可证
floating point
浮点
floating point number
浮点数
Floating Point Unit
浮点单元 (FPU)
floorplan
布局规划
floorplanning
布局规划
flow
流程
Flow Control
流量控制
flush
刷新
folder
文件夹
footprint
占板面积
footprint compatible
管脚兼容
fork
复刻
form factor
外形尺寸
format
格式
format converter
格式转换器
forward bias
正向偏置
Forward Error Correction (FEC) codecs
前向纠错 (FEC) 编解码器
forwarded clock
前向时钟
foundational platform
基础平台
fragment
片段
fragment frame
碎片帧
frame
帧
Frame Check Sequence
帧校验序列
frame relay
帧中继
frame relay access device
帧中继接入设备
Frame Straddle
跨帧
frame-mapped
帧映射
framework
框架
free running|free-running
自由运行
frequency
频率
frequency domain
频域
fringing capacitance
边缘电容
front-to-back RTL design environment
front-to-back RTL 设计环境
full precision
全精度
full rate
全速率
full-power domain (FPD)|full power docmain
全功耗域 (FPD)
full-power domain|full power docmain
全功耗域
Full-scale Input
满量程输入
Fully Digital Delay Locked Loop (DLL)
完全数字锁定环 (DLL)
function
功能|函数
function body
函数体
function call
函数调用
function inlining
函数内联
function signature
函数特征符
functional block
功能块
functional coverage
功能覆盖率
functional simulation
功能仿真
G
gate
门电路
gate-level
门级
gating
门控
gear box
变速箱
General Purpose I/O (GPIO)
通用 I/O (GPIO)
generate
生成
generated clock
生成时钟
generation
生成
generic
参数
geometry processor
几何处理器
Getting Started Guide
入门指南
Gigabit Ethernet WAN
千兆位以太网 WAN
Gigabit Ethernet|gigabit ethernet
千兆以太网
Gigabit Media Independent Interface (GMII)
千兆位媒体独立接口 (GMII)
Givens rotation
吉文斯旋转
glitch
毛刺
global
全局的
Global System Memory Map
全局系统内存映射
global-clock multiplexer buffer
全局时钟多路复用缓冲器
graphic
图形
graphical user interface
图形用户界面
graphics processing unit (GPU)
图形处理单元 (GPU)
gray-coded bus transfer
格雷编码总线传输
gray-coding
格雷编码
ground pad
接地焊盘
ground plane
接地层
ground stitch
接地拼接
GT Quad
GT 四通道
guard trace
防护线
guideline
指导|指南
H
H.264 Motion Estimation Engine
H.264 运动估计引擎
half-adder
半加器
half-band filter
半带滤波器
hand-off
交接
hands on experience
实际操作经验
hang
挂起
Haptic feedback
触觉反馈
Hard Memory Controller
硬件内存控制器
hard-decision detection
硬判决检测
hardened
硬化的
hardware
硬件
Hardware Description File (HDF)
硬件描述文件 (HDF)
Hardware Handoff File (HWH)
硬件交接文件 (HWH)
Hardware Manager
硬件管理器
hardware verification
硬件验证
hardware-software trade-off boundary
软硬件划分界线
harmonic
谐波
harmonic distortion
谐波失真
HDL parser
HDL 语法分析器
HDTV
高清电视
head of line blocking
队头阻塞
header
报头|头文件
header expansion card
插头扩展卡
Heat Sink
散热片
Heat Sink PQFP (HQ)
散热型 PQFP (HQ)
heatsink
散热片
heterogeneous
异构
hexadecimal
十六进制
hibernate mode
休眠模式
hierarchical design
层级设计
hierarchical floorplanning
层次化布局规划
hierarchy
层级
high
高
high address
高地址
high connectivity
高速连接
high density transcode
高密度转码
high level language
高级语言
High Performance Computing
高性能计算
high performance processing
高性能处理
high signal-integrity flip-chip packaging
高信号完整性 Flip-chip 封装
high speed I/O
高速 I/O
high speed serial
高速串行
high time
高电平时间
high volume
大容量
high-bandwidth memory
高带宽存储器
High-K
高介电
high-level synthesis (HLS)|high level synthesis (HLS)
高层次综合 (HLS)
high-level synthesis|high level synthesis
高层次综合
highlight
主要特色
high-reliability
高可靠性
high-speed
高速
high-speed connectivity
高速连接
high-κ metal gate (HKMG)
高介电层/金属闸
histogram
直方图
hold
保持
Home
主页
hook mode|Hook mode
钩子模式
hop
中间连线
host
主机
host machine
主机
human readable
人工可读
Hybrid memory cube
混合内存立方体
hyper-parameter
超参数
HyperTransport Consortium
HyperTransport 联盟
hypervisor
虚拟机管理器
I
I/F
接口
I/O
I/O
I/O bank
I/O bank
I/O coupling effect
I/O 耦合效应
I/O logic
I/O 逻辑
I/O operation
I/O 操作
I/O pin planning
I/O 管脚分配
I/O planning
I/O 管脚分配
I/O routing breakout
I/O 布线分支点
I/O signal
I/O 信号
I/O standard
I/O 标准
icon
图标
image
图像|镜像
image edge enhancement
图像边缘增强
image noise reduction
图像降噪
image processing pipeline
图像处理流水线
image statistics engine
图像统计引擎
immediate data
即时数据
impedance
阻抗
implement
实现|执行
implementation
实现
import
导入
incremental
增量
incremental design
增量设计
incremental synthesis
增量综合
inductance
电感
inductive crosstalk
感性串扰
industrial
工业类
industrial
工业
industrial temperature device
工业级温度范围器件
industry standard
业界标准
infer
引用
inference
推断
Information Assurance (IA)
信息保证 (AI)
initialization
初始化
initiation interval
启动时间间隔
inline
内联
input
输入
input referred noise
输入参考噪声
input termination
输入端接
insertion loss
插入损耗
inside out column
专栏
install
安装
Installation Guide
安装指南
installation package
安装包
instance
实例
instance name
实例名
instantiate
例化
instantiated core
例化的核
instantiation
例化
in-stream
流内
instruction
说明
integar
整数
integer factor
整数倍
integer performance
整数运算性能
integer value
整数值
Integral nonlinearity
积分非线性
integrated block
集成块
intellectual property
知识产权
intelligent
万物智能
Inter Processor Interrupt (IPI)
处理器间中断 (IPI)
interact
交互
interconnect
互连|互联
interface
接口|连接
inter-frame gap
帧间间隔
声明:
本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:
https://www.wpsshop.cn/w/Monodyee/article/detail/325897
推荐阅读
article
CV深度学习项目调试开发过程中报错记录【持续更新】_
can
'
t
open
/
read
file
: ch...
我排查定位到问题图片后分析出来的原因就是图片的命名中出现一些特殊的字符或者是无法被解析的字符,连续两个下划线也是不可以的...
赞
踩
article
Android
AAPT2
error
: check logs for details_
aapt
:...
出事cause : 心血来潮升级自己的APP
Android
Studio 升级到3.2 buildToolsVersion...
赞
踩
article
浅玩一下华为云 安装
mysql
+jdk+运行项目_
huawei
cloud
euleros
2.0
...
若以不分离项目项目部署_
huawei
cloud
euleros
2.0
level
3 of
mlps
2.0
64 ...
赞
踩
article
Android
之
Notification
的多种用法_
android
notification
lev...
我们在用手机的时候,如果来了短信,而我们没有点击查看的话,是不是在手机的最上边的状态栏里有一个短信的小图标提示啊?你是不...
赞
踩
article
The
Flutter
device
daemon
cannot
be
started
. Pleas...
Macos系统,IDEA或Android Studio启动
Flutter
项目后一直找不到可启动的设备,如果按照点击链接的...
赞
踩
article
CRC
循环
冗余
校验
(
Cyclic
Redundancy
Check) 原理/电路
实现
/Verilo...
多项式表示:把所有二进制位字符串视为变量 (x) 的多项式方程;多项式除法:使用“多项式除法” (模2算术运算) 进行校...
赞
踩
article
unity
打包
安卓
SDK
和JDK配置_
unity
2018
打包
require
api
level
2...
unity
打包
安卓
SDK
和JDK配置配置基本流程(转载): 基本步骤流程个人配置过程中的问题总结1、install 不能...
赞
踩
article
Verifying
archive
integrity
... Error in
check
sums
...
错误:
Verifying
archive
integrity
… Error in
check
sums
19819295...
赞
踩
article
Ubuntu16.04下安装显卡
驱动
_
error
in
check
sums
...
我的系统环境为ubuntu16.04,显卡为TITAN X(Pascal)第一步:禁用nouveau1.1 使用如下命令...
赞
踩
article
ubuntu16.04安装
nvidia
驱动、
cuda
和
cuda
nn_
error
in
check
s...
网上看了很多博客,都没有说明一个问题,那就是这三者需要版本匹配。主要是
cuda
版本要和驱动版本一致。很多教程中驱动的版本...
赞
踩
article
听
GPT
讲
Prometheus
源代码--
promtool
_
promtool
check
confi...
该文件中定义了一些结构体和函数,用于发送和接收指标数据。其中,parseAndPushMetrics函数会使用给定的解析...
赞
踩
相关标签
开发语言
Android
java
notification
通知消息提醒
详解
必看
flutter
硬件工程
fpga开发
unity3d
网络
bert
深度学习
后端