当前位置:   article > 正文

Vivado仿真小技巧,让所有模块的波形都可以显示_vivado仿真波形图怎么弄出来

vivado仿真波形图怎么弄出来

前言

使用vivado仿真的过程中,经常会遇到要查看某个信号的波形,但这个信号并没有被添加进来。这时就需要添加该信号,再重新仿真。遇到仿真时间较长的工程,效率会很低。有两种方法可以解决这个问题。


一、配置软件

首先打开settings,在弹出的页面中选中simulation,右侧出现simulation的配置页面,在simulation选项卡中xsim.simulate.log_all_signals的选项打上对钩,最后点击ok即可。
在这里插入图片描述
需要注意的是,这个配置只对当前工程有效。也就是说换个工程后,要重新配置这个选项。

二、Tcl Console命令方式

首先单击Run Simulation,在弹出的菜单中选择Run Behavioral Simulation,这就进入了波形界面;
然后点击Restart,在Tcl Console中输入指令:log_wave –r /* 。输入完毕以后按回车。
在这里插入图片描述

总结

用以上两种方法均可以实现波形全显示,要观察任意模块的中间信号波形时,只需要把信号拖到波形界面中,波形就会自动显示。提高仿真效率。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/weixin_40725706/article/detail/628527
推荐阅读
相关标签
  

闽ICP备14008679号