当前位置:   article > 正文

Vivado使用入门之四:时序约束操作大全_vivado进行时序约束

vivado进行时序约束

目录

一、概览

二、创建约束

​2.1 Constraints Wizard

2.2 Edit Timing Constraints

2.3 Constraints目录下创建

2.4 Sources窗口“+”创建

2.5 ​ 菜单栏File中创建

三、设置约束

3.1 约束类型

3.2 约束命令


一、概览

 

二、创建约束

    ​Vivado的时序约束是保存在xdc文件中,添加或创建设计的工程源文件后,需要创建xdc文件设置时序约束。时序约束文件可以直接创建或添加已存在的约束文件,创建约束文件有两种方式:Constraints WizardEdit Timing Constraints,在综合后或实现后都可以进行创建。

2.1 Constraints Wizard

    ​Constraints Wizard流程下将会以引导式的方式帮助用户设置约束,以综合后的设置为例,在Flow Navigator窗口中,进入Synthesis->Open Synthesized->Constraints Wizard

 

点击Constraints Wizard,弹出弹框提示无约束文件,选择Define Target

 

选择Create File创建约束文件

 

File name设置约束文件名字,File location设置约束文件保存路径,默认即可

 

勾选Target下的方框后,右下角的OK 高亮,点击OK。

 

在Source窗口中查看创建的约束文件,包含了timing_set.xdc文件,说明创建成功

 

2.2 Edit Timing Constraints

     ​ Edit Timing Constraints和Constraints Wizard处于相同的标题下

 

点击Edit Timing Constraints,进入设置界面,左侧中显示可设置的约束类型,默认是选择Create Clock,点击红框中的“+”号,进入添加界面

 

输入Clock name,设置Source object和时钟周期相关值,点击OK,

 

点击保存图标后按ctrl+s,弹出保存框,点击OK

 

设置保存的约束文件名后,点击OK

 

在All Constraints中将出现刚刚设置的约束

 

2.3 Constraints目录下创建

    ​Source窗口下进入Constraints中,鼠标点击右键弹出弹窗,进入Add sources中可以创建或添加约束文件

 

点击Next

 

选择Create File

 

输入约束文件名,点击OK保存成功

 

2.4 Sources窗口“+”创建

    ​在Source窗口下,有一个“+”图标,点击该图标

 

进入Add Sources窗口,剩余操作和2.3 Constraints目录下创建相同

 

2.5 ​ 菜单栏File中创建

菜单栏File中的列表中,选择“Add Sources”

 

进入Add Sources界面

 

    ​选择Create File,后续的操作和2.3节相同

 

三、设置约束

3.1 约束类型

    ​vivado支持的约束有5大类,具体见下图

 

在timing constraints中显示了可设置的约束,左侧点击要设置的约束类型,右侧序号2位置处自动显示该类约束,此时再点击3,自动进入创建约束的窗口中。

 

进入set system jitter窗口

 

3.2 约束命令

各种约束的使用格式可参见Language Templates,在Language templates的模板templates中,XDC中Timing Constraints选择需要设置的约束,右侧Preview自动显示约束的格式

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/不正经/article/detail/431443
推荐阅读
  

闽ICP备14008679号