赞
踩
Vivado MIG ip核使用教程(三)
在本章中,我们对DDR3进行先写入再读出的操作,使用状态机来控制对DDR3的访问,并介绍DDR3读写控制器的编写思路。
状态机状态:IDLE、WR、WAIT、RD。
assign app_en = (state == WR && app_rdy && app_wdf_rdy)||(state == RD && app_rdy))?1'b1:1'b0;
访问DDR3也就是对DDR3进行读写操作,当我们需要向DDR3中写入/读出数据时,我们需要考虑以下问题:
assign app_cmd = (state == WR)?1'b0:1'b1;
问题 | 信号 |
---|---|
DDR3现在是否准备好被写入数据? | app_rdy && app_wdf_rdy |
DDR3现在是否准备好读出数据? | app_rdy |
当我们要向DDR3中写入数据或者从DDR3中读出数据时,我们需要知道,向哪个地址写入数据?从哪个地址读出数据?
通过app_addr端口,我们可以控制读写的地址。
always@(posedge clk) case(state) IDLE: app_addr <= 0; WR: if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1) app_addr <= 0; else if(app_rdy && app_wdf_rdy) app_addr <= app_addr + 8; else app_addr <= app_addr; WAIT: app_addr <= 0; RD: if(app_rdy && rd_addr_cnt == TEST_LENGTH - 1) app_addr <= 0; else if(app_rdy) app_addr <= app_addr + 8; else app_addr <= app_addr; default: app_addr <= 0; endcase
app_wdf_wren = (state == WRITE && app_rdy && app_wdf_rdy)?1'b1:1'b0;
app_wdf_wren指示输入数据有效,我们在DDR3可写的时候拉高app_wdf_wren,并更新app_wdf_data端口的数据即可
ui_clk_sync_rst可以对用户逻辑进行复位,我们可以对它取反作为一个低电平有效的复位信号
assign rst_n = ~ui_clk_sync_rst;
always @(posedge ui_clk or negedge rst_n)
if(~rst_n)begin
...
end
else begin
...
end
以下为本节对应的DDR3读写控制器代码
`timescale 1ns / 1ps module ddr3_rw( input init_calib_complete, input ui_clk , input ui_clk_sync_rst , output reg [ 27:0] app_addr , output [ 2:0] app_cmd , output app_en , output reg [127:0] app_wdf_data , output app_wdf_end , output app_wdf_wren , input [127:0] app_rd_data , input app_rd_data_valid , input app_rdy , input app_wdf_rdy , output error ); localparam IDLE = 4'b0001; localparam WR = 4'b0010; localparam WAIT = 4'b0100; localparam RD = 4'b1000; localparam TEST_LENGTH = 1000; reg [ 3:0] state ; reg [ 3:0] next_state ; wire rst_n ; reg [clogb2(TEST_LENGTH - 1):0] wr_addr_cnt; reg [clogb2(TEST_LENGTH - 1):0] rd_addr_cnt; reg [clogb2(TEST_LENGTH - 1):0] rd_cnt ; assign clk = ui_clk; assign rst_n = ~ui_clk_sync_rst; assign app_cmd = (state == WR)?1'b0:1'b1; assign app_en = ((state == WR && app_rdy && app_wdf_rdy)||(state == RD && app_rdy))?1'b1:1'b0; assign app_wdf_wren = (state == WR && app_rdy && app_wdf_rdy)?1'b1:1'b0; assign app_wdf_end = app_wdf_wren; assign error = (app_rd_data_valid && rd_cnt != app_rd_data)?1'b1:1'b0; always@(posedge clk) if(~rst_n) state <= IDLE; else state <= next_state; always@(*) case(state) IDLE: if(init_calib_complete) next_state = WR; else next_state = IDLE; WR: if(wr_addr_cnt == TEST_LENGTH - 1 && app_wdf_rdy && app_rdy) next_state = WAIT; else next_state = WR; WAIT: next_state = RD; RD: if(rd_addr_cnt == TEST_LENGTH - 1 && app_rdy) next_state = IDLE; else next_state = RD; default: next_state = IDLE; endcase // wr_addr_cnt always@(posedge clk) case(state) IDLE: wr_addr_cnt <= 0; WR: if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1) wr_addr_cnt <= 0; else if(app_rdy && app_wdf_rdy) wr_addr_cnt <= wr_addr_cnt + 1'b1; else wr_addr_cnt <= wr_addr_cnt; WAIT: wr_addr_cnt <= 0; RD: wr_addr_cnt <= 0; default: wr_addr_cnt <= 0; endcase // rd_addr_cnt always@(posedge clk) case(state) IDLE: rd_addr_cnt <= 0; WR: rd_addr_cnt <= 0; WAIT: rd_addr_cnt <= 0; RD: if(app_rdy && rd_addr_cnt == TEST_LENGTH - 1) rd_addr_cnt <= 0; else if(app_rdy) rd_addr_cnt <= rd_addr_cnt + 1'b1; else rd_addr_cnt <= rd_addr_cnt; default: rd_addr_cnt <= 0; endcase // app_addr always@(posedge clk) case(state) IDLE: app_addr <= 0; WR: if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1) app_addr <= 0; else if(app_rdy && app_wdf_rdy) app_addr <= app_addr + 8; else app_addr <= app_addr; WAIT: app_addr <= 0; RD: if(app_rdy && rd_addr_cnt == TEST_LENGTH - 1) app_addr <= 0; else if(app_rdy) app_addr <= app_addr + 8; else app_addr <= app_addr; default: app_addr <= 0; endcase // app_wdf_data always@(posedge clk) case(state) IDLE: app_wdf_data <= 0; WR: if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1) app_wdf_data <= 0; else if(app_rdy && app_wdf_rdy) app_wdf_data <= app_wdf_data + 1'b1; else app_wdf_data <= app_wdf_data; WAIT: app_wdf_data <= 0; RD: app_wdf_data <= 0; default: app_wdf_data <= 0; endcase // rd_cnt always @(posedge clk)begin if(~rst_n) rd_cnt <= 0; else if(app_rd_data_valid && rd_cnt == TEST_LENGTH - 1) rd_cnt <= 0; else if(app_rd_data_valid) rd_cnt <= rd_cnt + 1; end function integer clogb2(input integer bit_depth); for(clogb2 = 0;bit_depth > 0;clogb2 = clogb2 + 1) bit_depth = bit_depth >> 1; endfunction endmodule
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。