当前位置:   article > 正文

基于FPGA(Verilog)的CRC16校验码的原理与计算(附带例程)

基于FPGA(Verilog)的CRC16校验码的原理与计算(附带例程)
  • 什么是CRC校验

循环校验码(CRC码):是数据通信领域中最常用的一种差错校验码,其特征是信息字段和校验字段的长度可以任意选定。

这个校验方式的根本思想是在发送数据的后面拼接上一些额外的数据位,使得这个拼接之后的数据可以被一个固定的数模2整除(这里的模2整除是一种区别于普通的除法的运算方法,也不难理解),之后将数据发送……接收端同样将整个拼接后的数据与之前确定的固定的数据做模2除法,若能整除,则说明传输过程没有出错,若不能整除,则可以根据余数判断是哪一位发生了错误。

  • 生成CRC码的基本原理

任意一个由二进制位串组成的代码都可以和一个系数仅为‘0’和‘1’取值的多项式一一对应。例如:代码1010111对应的多项式为x6+x4+x2+x+1,而多项式为x5+x3+x2+x+1对应的代码101111。

标准CRC生成多项式如下表:

   名称          生成多项式              简记式*   标准引用

   CRC-4         x4+x+1                  3         ITU G.704

   CRC-8         x8+x5+x4+1       

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/寸_铁/article/detail/811603
推荐阅读
相关标签
  

闽ICP备14008679号