当前位置:   article > 正文

vivado中使用vhdl库文件_vivado使用vhdl 2008

vivado使用vhdl 2008

vhdl编程时经常碰到这样的语句:

library libcommon;
use libcommon.global.all;

library work;
use work.openmacPkg.all;

实际上这个库是个名为global.vhd的文件,光有上面这2语句IDE是找不到这个库来使用的,还需要设置,那么在vivado里具体怎样使用呢?

工程建好后会有个"xil_default"库,这个其实就是"work"库,vhdl里“library"其实就是个路径。点"Libraries"标签,点"+"按钮,把要引用的库文件(*.vhd)加进来,这时会被加进xil_default库中,然后在"xil_default"树分支下找到刚加进来的库文件(*.vhd),然后在下面的"Source File Propertites"面板里的"Library"编辑框里把它改成你要的库文件名(比如:libcommon),就可以了,如图:

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/寸_铁/article/detail/879491
推荐阅读
相关标签
  

闽ICP备14008679号