当前位置:   article > 正文

Xilinx FPGA开发环境vivado使用流程_xilinx vivado

xilinx vivado

Xilinx FPGA开发环境vivado使用流程

1.启动vivado

在这里插入图片描述

2.选择Create New Project

在这里插入图片描述

3.指定工程名字和工程存放目录

在这里插入图片描述

4.选择RTL Project

在这里插入图片描述

5.选择FPGA设备

在这里插入图片描述

6.工程创建完成后

在这里插入图片描述

7.开始编写verilog代码

第一步:点击Add Sources按钮
在这里插入图片描述

第二步:选择add or create design sources按钮,即添加设计文件
在这里插入图片描述

第三步:选择create file
在这里插入图片描述

文件新建完成后:
在这里插入图片描述

此时可以定义I/O端口,我们选择自己在程序中编写。
在这里插入图片描述

第四步:在编辑器中编写verilog程序

在这里插入图片描述

8.添加XDC管脚约束文件

XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约束
第一步:新建约束文件
在这里插入图片描述
第二步:创造约束文件
在这里插入图片描述
在这里插入图片描述
第三步:编辑管脚约束文件
在这里插入图片描述
其中,
Set_property PACKAGE_PIN “引脚编号” [get_ports “端口名称”]
Set_property IOSTANDARD “电压” [get_ports “端口名称”]

9.编译

第一步:运行Run Synthesis 综合
第二步:运行Run Implementation 布局布线
第三步:运行Generate Bitstream 生成bit文件

10.下载和调试

运行Hardware Manager。

本文内容由网友自发贡献,转载请注明出处:【wpsshop博客】
推荐阅读
相关标签
  

闽ICP备14008679号