当前位置:   article > 正文

simulink fpga_(实现BPSK学习Verilog)1. Simulink仿真实现

simulink fpga

2b7248dbeb0c218ac5202a7db1d21c02.png

欢迎关注BUG记录知乎专栏BUG记录公众号,关注BUG记录公众号回复101获取本文使用的Simulink仿真文件

28d76ef08fa0c1723369cf59d6344498.png
微信号:BugRec

由于最近研究某个高速接口没什么实质性的突破,实在写不出太好的东西,所以就写点更为基础的东西分享给大家,顺带着自己也回忆回忆,防止自己西瓜也没捡到,芝麻也丢了。

这个系列是以前通信原理课程的课设,通过实现BPSK可以学习到Simulink的使用仿真,m序列的verilog实现,Vivado/Xilinx的IP核调用,数据截位,查看数据波形等。

本次文章记录的是BPSK的Simulink实现,会重点介绍几个Simulink模块的作用。


相移键控(BPSK)主要是利用载波的相位变化传递数字信息,传递过程中振幅和频率保持不变。通常使用初始相位0和pi分别表示二进制的“0”和“1”;

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/木道寻08/article/detail/741016
推荐阅读
相关标签
  

闽ICP备14008679号