当前位置:   article > 正文

Quartus prim实现模块化电路设计,生成子电路元件并在Block Diagram File中调用的解决方案(关于FPGA的复杂工程设计的相关博客都会采用此方法)_quartus怎么把2电路图变成模块

quartus怎么把2电路图变成模块

 <1>新建工程

<2>新建Block Diagram File

<3>保存为顶层文件

<4>新建Verilog HDL File 文件(用来编写子模块电路代码)

<5>保存文件并命名文件

<6>调用元件

<7>设置端口属性

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/盐析白兔/article/detail/663677
推荐阅读
相关标签
  

闽ICP备14008679号