赞
踩
#编译综合 launch_runs synth_1 opt_design #优化,后面可以加 -sweep,去删除未连接的实例 phys_opt_design place_design route_design launch_runs impl_1 -to_step write_bitstream