当前位置:   article > 正文

多年收集的一些稀有软件3

bentley axsys.process

QQ:365543212 

Digital Canal Multiple Load Footing v4.5 1CD(定义出梯形的、带状的或矩形的脚柱的多种负重)

Digital Canal Quick Wall v5.7 1CD(保留墙设计软件,同时拥有卓越的精确性)

Digital Canal Spread Footing v2.3 1CD(圆柱体设计软件,可以同时处理10个圆柱体还可以把某个圆柱体的设定应用到其他圆柱体上,同时也有简单的报告生成系统)

Digital.Canal.Structural.VersaFrame.v8.13 1CD(完整的CAD分析设计程序,不需要AutoCAD的支持即可运行)

Digital Canal Wind Analysys v6.8 1CD(用来快速计算风压、风力和分析任何尺寸和种类的结构的工程软件)

 

Engineered Software产品:

PUMP-FLO v10.0 1CD(泵选型软件)

ScrewPUMP v2.0 1CD

 

DataCAD LLC.产品:

Datacad v19.01.00.16 WinALL 1CD(专业的CAD结构设计软件)

DataCAD.v11-ISO 1CD(用于建筑设计的专业水平的A/E/C CADD程序,可提供如同相片一样真实的画质,创建动画及文档)

 

LEAPSOFT产品:

Leap SoftWare Axsys v4.1.0 1CD(预制多层柱-板结构分析与设计)

Leap SoftWare Conspan v3.10 1CD(分析[简单/连续]的[预制/现浇]钢筋混凝土桥梁)

Leap SoftWare Presto v8.6.1 1CD(预制/预应力混凝土梁设计和分析)

Leap SoftWare Consplice v1.2.2 1CD(接头处的设计)

LeapSoft Conbox v7.0.1 1CD(混凝土和钢桁箱式结构桥梁的预应力进行全面分析和设计的工具)

LeapSoft Conspan Rating v7.0.1 1CD(桥梁工程软件,用于桥梁基础AASHTO标准和LRFD分析的整套工具)

LeapSoft Consys v1.3.0 1CD(活载和恒载分析)

LeapSoft Geomath v7.0.0 1CD(加固钢粱设计较长桥梁跨度)

LeapSoft RC-Pier v7.0.0 1CD(桥梁工程软件,针对混凝土桥梁底部结构与基础的强化设计应用)

 

LUSAS产品:

LUSAS Finite Element Analysis Suite (Academic) v15.0.01 1CD(建筑、桥梁工程分析软件,包括振型、地震、动力、大变形、疲劳分析。

                                   适用于各种桥梁的设计、分析和估价,包括覆盖简支梁桥到悬索、斜拉桥)

LUSAS.FEA.v13.Documentation 1CD

 

ALAN A. SMITH INC.产品:

AAS MIDUSS v2.25 rev 473 1CD(windows下的排水管设计软件)

 

AceCAD产品:

AceCad StruCad v11.0-ISO 1CD(全球领先的 3D 钢结构详图设计系统的最新软件版本)

AceCad StruCad v10.0 Manuals 1CD(英文用户手册)

AceCad StruCAD 中文帮助文件

 

通风,空调,供热计算:

Air Humid Handling v2004 Multilingual 1CD(计算各种空气处理过程的软件)

Auditor H20 v1.6 1CD

SANKOM Audytor C.O. v3.8 1CD(图形化的程序,可帮助设计和规范中央供暖系统)

SANKOM Audytor C.H.(eng) C.O.(rus) v6.0 1CD(用于新加热系统的图形辅助设计,现有设备的调整(例如隔热建筑物)以及冷却系统(网络冰水管道)的设计)

SANKOM Audytor OZC v6.1(eng) v6.9(rus) 1CD(建筑物热计算软件)

SANKOM Audytor SDG v2.0(eng) 1CD(用于快速选择对流和地板采暖设备)

 

Dimansional Solutions,Inc.产品:

Dimansional Solutions Combined 3D v5.0.0 1CD

Dimansional Solutions DsAnchor v4.3.0 1CD

Dimansional Solutions Foundation 3D v5.0.0 1CD

Dimansional Solutions Mat 3D v5.0.0 1CD

Dimansional Solutions Shaft 3D v5.0.0 1CD

 

EliteSoftware产品:

Elite Software Audit v7.02.35 1CD(供热及制冷量进行计算的软件)

Elite Software Chvac v7.01.45 1CD(计算商业建筑供热和制冷负荷峰值的软件)

Elite Software DuctSize v6.01.224 1CD(风管测算软件)

Elite Software DPipe v2.00.18 1CD(为公共卫生设施设计排水系统和通气管系统,支持差不多无限数量的管道剖面)

Elite Software E-Tools v1.01.19 1CD(电气计算和数据查询软件)

Elite Software ECA v4.0.22 1CD(能在给定的热泵 、土壤以及气候条件下,快速计算建筑物采暖、制冷所需要的循环管道的长度)

Elite Software ECoord v3.0.19 1CD(熔丝和短路器的合成软件)

Elite Software Fire v6.0.189 1CD(美国消防协会所要求的消防系统的水力计算软件,支持所有类型的消防系统)

Elite Software GasVent v2.09.19 1CD(能够快速地为燃气设备计算通风管道的大小)

Elite Solfware HTools v3.2.16 1CD(专为供热通风与空调工程,给排水工程,防火工程等设计的软件)

Elite Software Hsym v2.062 1CD(用来对中央采暖通风与空调的冷热水管道系统进行分析)

Elite Software PsyChart v2.01.35 1CD(焓湿图工具,将完整的焓湿图显示在屏幕上,可以计算各种空气处理过程)

Elite Software Quote v2.0.39 1CD(HVAC估价和销售软件)

Elite Software Rhvac v8.01.202 1CD(是计算建筑物尖峰值制冷和制热负荷的软件,同时确定房间的送风量以及风管尺寸)

Elite Software Refrig v3.00.67 1CD(计算建筑内24小时的制冷负载,适合于所有制冷方面的应用)

Elite Software SPipe v2.00.26 1CD(SPIPE是计算居住和商用大楼内部热水和冷水供应系统的最佳管径的程序)

HVAC.Solution.Pro.v8.4.2 1CD

 

CivilTech产品:

CivilTech Allpile v7.3B Full 1CD(有限元分析工具:用于分析各种不同材料,不同形状的管,柱)

CivilTech Liquefy Pro v4.5D 1CD(在地震载荷下决定液化域和沉降的软件)

CivilTech Shoring Suite v 8.8P 1CD 1CD(有限元分析工具:用于支撑件的分析设计)

CivilTech Superlog v4.1D 1CD(用于野外钻探,地质勘探的钻探记录,和制作探井图形报表)

 

Adaptsoft 产品:

Adapt ABI v4.50.3 1CD(专门针对节块工法 (segmentally) 或者传统工法的预力混凝土桥梁之分析与设计的软件)

ADAPT.Builder.2015.build.2015.0.032515.Win32_64 2CD

ADAPT.Builder.2012.build.2012.3.0.3020 Win32_64 2CD

Adapt Builder ABI 2009 Plus 2.0 1CD(结构设计和分析,房屋的梁、柱、板等,以及各种预应力结构)

Adapt Builder EX v3.2 1CD(完全整合混凝土墙体、地基、梁柱结构设计和分析工具的合集)

Adapt.Builder.EX.Build3-ISO 1CD(结构设计和分析,房屋的梁、柱、板等,以及各种预应力结构的软件)

ADAPT.FELT.2014.1 1CD(计算伸长率的,摩擦损失,并长期处于压力损失在预应力的行业标准程序)

ADAPT-PTRC 2016.0 1CD

ADAPT.PT/RC.2015.0 1CD(房屋与停车场结构里的梁、板、楼板系统之先进后拉预力软件)

Adapt RC 2010 Build 2 1CD(预应力混凝土结构设计计算软件)

 

Nemetschek AG产品:

Nemetschek.Allplan.2015.Win64 1DVD

Nemetschek.Allplan.BCM.v2014.Multilingual-ISO 1CD(建筑成本管理和计算)

Nemetschek Allplan BIM v2013 Win32_64 MultiLanguage-ISO 2DVD(建筑设计)

Nemetschek Allplan v2014 Win32_64 MultiLanguage-ISO 2DVD

Nemetschek.Allplan.Sketch.v2008.0c.Multilingual 1DVD(为建筑师和设计者设计的智能方案)

Nemetschek.SCIA.Engineer.2015.v15.3.120 1DVD

Nemetschek.Scia.Engineer.v15.1.MultiLanguage-ISO 1DVD(结构分析设计)

Nemetschek Vectorworks 2018 SP3 Win64 1DVD

Nemetschek Vectorworks.2014.Windows-ISO 1DVD(是一套支持多平台的专业计算机辅助设计(CAD)软件 )

Nemetschek Vectorworks.2014.MacOSX-ISO 1DVD

Nemetschek VectorWorks RenderWorks Addon v11.0 WiN32 1CD(著名CAD软件VectorWorks v11.0和RenderWorks v11.0的插件)

Nemetschek PlanDesign v2004.0 MultiLanguage Working 1CD (高级建筑设计草图设计)

VectorWorks.v12.0.1.MAC.OSX-ISO 1DVD

 

EngiLab产品:

EngiLab.Beam.2D.2015.Pro.Edition.v2.2.5602 1CD

EngiLab.Beam2D.v1.81.WinALL 1CD(强力工程工具。EngiLab公司出品的平面捆绑分析软件)

 

Design Data产品:

Design Data SDS/2 v7.135 1CD(钢结构详图)

Design Data SDS/2 v7.025 1CD(钢结构详图)

 

Acecoms产品:

Acecoms Gear2003 v2.1.rev5 1CD

 

Data Design System Suite(DDS)产品:

Data.Design.System.DDS.CAD.v7.2.MultiLanguage-ISO 1DVD

Data.Design.System.Suite.v6.32.Multilanguage-ISO 1CD

DDS Arcpartner v6.4 1CD(建筑设计CAD软件) 

DDS Construction Partner v6.4 1CD( 建筑工程的规划系统,用于各种设计的初期规划,文档的修改等)

DDS.HousePartner.v6.4-ISO 1CD

DDS.Partner.v6.34-ISO 1CD(基于电脑设计和计划的建筑工具)

DDS.Partner.Base.v6.34.Multilingual 1CD(建筑工程学方面的软件,数据设计软件,主要用于与其他软件的协作)

DDS.Partner.Building.Services.v6.34.Multilingual 1CD(建筑工程方面的CAD软件)

 

ENGINEERING DYNAMICS, INC.产品:

EDI.Sacs.v5.3.SP1.Build1-ISO 1CD(海洋平台结构分析软件)

 

EngiLab产品:

EngiLab.Rod2D.v1.00.104.WinALL 1CD

 

SAM-LEAP产品:

SAM-LEAP5.v5.10D-ISO 1CD(桥梁设计软件,符合AASHTO、AUSTROADS 和 BS5400 规范)

SAM-LEAP Classic v6.2.4 1CD(桥梁设计软件)

 

TDV产品:

TDV RM 2004 v9.15.03 1CD(建筑和桥梁工程软件)

TDV Rm SpaceFrame 2004 v9.0 1CD(建筑工程和桥梁工程软件,4维的静态和动态设计分析工具。用于钢筋混凝土和复合结构模型)

 

UniSoft产品:

UniSoftGS.UniPile.v5.0.0.56.UniSettle.v4.0.0.55 2CD

Unisoft GS Softwares 2017 2CD(专门开发的软件应用程序,用于帮助工程师执行涉及复杂负载组合和现场条件的应力和沉降)

   inclued:

       Unisettle v4.0 & Unipile v5.0

Unisoft Unibear v1.2 1CD

Unisoft Uniphase v2.1 1CD

Unisoft Unipile v4.0 1CD

Unisoft Uniplot v2.1 1CD

Unisoft Unisettle v3.0 1CD

Unisoft Unitest v3.2 1CD

 

Trimble产品:

Trimble Vico Office v4.7 MR1 1CD(5D BIM建筑软件)

Trimble RealWorks v6.5 1CD

TRimble Terramodel 10.60 & 10.61 Update-ISO 1CD

 

Radimpex产品:

Radimpex tower7 v7.5.20 1CD

Radimpex Tower v7.0 Build 7129 1CD(静态和动态的结构分析,水泥,钢材和木材的设计)

Radimpex ArmCAD v2005UE Build 1730 1CD

 

DS SIMULIA产品:

DS.Simulia.Tosca.Structure.v8.0.Win64 1CD

FE-Design Tosca Structure v7.11.Win32_64 2CD(用于有限元模型的非参数结构优化,稳定快速的算法,并且支持多种用户界面。适用多种操作系统)

FE-Design Tosca v5.0 for LINUX 1CD

 

C.A.T.S产品:

Cats 2002 incl update 203 and CatsCalc R2-ISO 1CD((用于建筑设计中加热、通风、管道、电工等等系统的设计及优化)

 

UGMT产品:

UGMT buildingEXODUS v4.0 WiN32 1CD(应用于建筑、海上作业、航空航天领域的消防安全分析设计软件)

 

Bentley产品:

Bentley Acute3D Viewer 04.03.00.506 Win32_64 2CD

Bentley Acute3D ContextCapture Master 04.04.00.338 1CD

Bentley Acute 3D & ContextCapture Center Master v4.00.5556 Win64 1CD

Bentley AssetWise ALIM ProjectWise Connector CONNECT Edition v02.05.00.42 Win64 1CD

Bentley AssetWise CDE v16.06.20.11 1CD

Bentley.AECOsim.Building.Designer.V8i.SS5.v08.11.09.750 1DVD

Bentley AECOsim Energy Simulator V8i (SELECTseries 1) 08.11.07.103 1CD

Bentley Architecture V8i 08.11.07.77 1CD(提供了直觉化的使用者介面,强大的专业工具,以及符合建筑专业需求的

                       标准零件库,在整个由设计概念到施工图的流程中,随时产生您需要的书图报表)

Bentley.Architecture.Dataset.GB.UK.V8i.v8.11.05.54 1CD

Bentley.Architecture.Dataset.US.V8i.v8.11.05.54 1CD

Bentley AutoPLANT Drawing Flattener V8i (SELECTSeries 6) 08.11.11.113 Win32_64 2CD

Bentley AutoPLANT i-model Composer V8i SS4 v08.11.09.14 1CD

Bentley AutoPLANT Object Enabler V8i v08.11.11.113 Win64 1CD

Bentley AutoPLANT P&ID V8i v08.11.08.123 Win32

Bentley AutoPLANT Modeler V8i v08.11.10.182 Win32_64 2CD

Bentley AutoPLANT Modeler V8i 08.11.11.113 Win64 1CD

Bentley AutoPLANT Plant Design V8i v08.11.09.140 Win32_64 2CD(三维工厂设计软件)

Bentley AutoPLANT 2004 Edition 中文版 1CD

Bentley AutoPlant 97 EQUIPMENT_(中文用户手册)

Bentley.AutoPLANT.Plant.Design.XM.08.09.03.30 1CD

Bentley.AutoPlant.Structural.v08.06.00.11 1CD((厂房等建筑)结构的设计, 分析, 与资料归挡工具;

                           用于钢材, 混凝土, 土木工程等结构)

Prerequisites and Common Tools for AutoPLANT Applications v8i 08.11.11.113 Win64 1CD

Bentley Automation Service CONNECT Edition v10.00.03.125 Win64 1DVD

Bentley AutoPIPE CONNECT Edition v10.01.00.08 CHS 1CD

Bentley AutoPIPE CONNECT Edition 11.02.00.08 1CD

Bentley.AutoPIPE.V8i.SS5.09.06.01.10 1CD(管道设计与分析软件)

Bentley.AutoPIPE.V8i.SS5.09.06.02.06 1CD

Bentley.AutoPIPE.V8i.SS5.10.01.00.05 1CD

Bentley AutoPIPE V8i 09.01.01.04 CHS 1CD(中文版)

Bentley AutoPIPE Vessel CONNECT Edition v40.07.00.07 1CD

Bentley AutoPIPE Vessel CONNECT Edition v40.04.00.08 CHS 1CD

Bentley AutoPIPE Vessel (Microprotol) V8i SS1 MR1 33.03.01.07 1CD

Bentley AutoPIPE Advanced V8i SELECTseries 5 v09.06.01.10 & Nozzle 8.11.08.23 1DVD

Bentley AXSYS.Engine.v08.11.11.48 1CD

Bentley AXSYS.Integrity.v08.11.09.52 1CD

Bentley AXSYS.Process.v08.11.11.32 1CD

Bentley Building Electrical Systems V8i 08.11.07.03 1CD(建筑电气系统,须先安装Triforma XM v08.09.03.XX)

Bentley Building Electrical Systems V8i 08.11.07.05 for AutoCAD 1CD

Bentley Building Electrical Systems V8i (SELECTseries 1) 08.11.07.05 United Kingdom 1CD

Bentley.Building.Mechanical.Systems.XM.v8.09.04.76 1CD(建築設計、工程與分析系統,需选安装

                                MicroStation.TriForma.v8.05.03.70)

Bentley Cadastre V8i 08.11.07.15 1CD

Bentley Cadastre v08.11.05.08 1CD

Bentley.Cadastre.v08.09.04.71.for.Microstation.XM 1CD

Bentley.CADScript.V8i.v08.11.05.14 1CD

Bentley Civil Content for Visualisation v08.11.07.05 1CD

Bentley CivilStorm CONNECT Edition 10 Update 1 v10.01.01.04 Win64 1CD

Bentley.CivilStorm.CONNECT.Edition.Update1.v10.01.00.70 1CD

Bentley.CivilStorm.V8i.SS5.08.11.05.113 1CD

Bentley CloudWorx v03.00.01.49 1CD(将3D激光扫瞄所完成的测量数据汇入MicroStation及AutoCAD,使得您能在CAD

                    程序中参照完整而精确的3D测量数据,快速的进行您的设计工作)

Bentley Coax V8i v08.11.09.870 1CD

Bentley ConstructSim V8i 08.11.09.911 1CD

Bentley ContextCapture 04.03.00.507 Win64 1CD

Bentley.ContextCapture.Center.v04.03.00.507.Win64 1CD

Bentley ContextCapture Editor CONNECT Edition v10.01.00.06 Update 1 Win64 1DVD

Bentley ContextCapture Editor v10.00.00.01 Win64 1DVD

Bentley Coax V8i v08.11.09.861 1CD

bentley CulvertMaster 03.03.00.04 1CD

Bentley.Descartes.V8i.SS5.08.11.09.601 1DVD

Bentley Descartes for MicroStation V8i 08.11.09.541 1CD

Bentley.Microstation.Descartes.v8i.XM.v8.11.05.30 1CD(地图绘制、视觉化以及图形矢量化的专业解决方案)

Bentley Electric V8i v08.11.07.56 1CD

Bentley Explorer 2004 Edition v8.5 1CD(模型浏览)

Bentley Fiber V8i v08.11.09.861 1CD

Bentley FlowMaster CONNECT Edition v10.00.00.02 1CD

Bentley FlowMaster V8i v08.11.01.03 1CD

 

Bentley HVAC v8.05.02.20 1CD(在MicroStation TriForma V8工程模块下的先进 HVAC 管线配置系统)

Bentley HVAC 2004 1CD(在 MicroStation TriForma V8 工程模块下的先进 HVAC 管线配置系统)

 

Bentley Hammer CONNECT Edition V10 Update 1 v10.01.01.04 Win64 1CD

Bentley.HAMMER.Connect.Edition.10.00.00.50 1CD

Bentley HAMMER V8i v08.11.01.32 CHS 1CD(中文版)

Bentley.HAMMER.V8i.SS5.08.11.06.113 1CD(水锤和瞬态分析软件)

Bentley HEC-Pack V8i 08.11.00.00 1CD

Bentely Hevacomp 26.00.00.38 1CD

 

Bentley Geopak Rebar v08.08.03.37 1CD(加固混凝土设计和工程安排工具)

Bentley GEOPAK Civil Engineering Suite V8i v08.11.09.903 1CD

Bentley GEOPAK Civil Engineering Suite V8i SS4 v08.11.09.845 Win64 1CD

Bentley GEOPAK Civil Engineering Suite V8i (SELECTSeries 3) 08.11.09.722 1CD

Bentley Geopak Civil Engineering Suite v8-2004 Edition 1CD (关于城市建设方面,具有桥梁、排水系统、道路、等模板)   

Bentley.GeoGraphics.v8.05.01.09 1CD(将MicroStation工业标准资料的获取及编辑工具, 完整的资料库界面

                     及空间分析功能完美地结合在一起,提供制作、管理与发布地理工程咨询完整功能的作业平台)

Bentley GeoStructural Analysis (incl. Finite Element Analysis) v19.00.41.00 1CD

Bentley.GSA.FEM.v19.00.41.00 1CD

Bentley GeoStructural Analysis(GSA) v19.00.39.00 1CD(岩土工程分析软件)

Bentley.GeoStructural.Excavation.Analysis.v17.00.36.00 1CD

Bentley GeoStructural Finite Element Analysis(GSFEM) v19.00.39.00 1CD

Bentley.GeoStructural.Foundation.Analysis.v17.00.28.00 1CD

Bentley.GeoStructural.Retaining.Wall.Analysis.v17.00.36.00 1CD

Bentley gINT V8i SS2 v08.30.04.260 1CD

Bentley gINT AGS Toolkit v8i 8.30.4.206 1CD

Bentley gINT Civil Tools v1.1.17 1CD

Bentley.gINT.CONNECT.Edition.Pro.Plus.v10.00.00.17 1CD

Bentley.gINT.Pro.Plus.SS2.v08.30.04.242 1CD

Bentley GSFEM v17.00.36.00 1CD

Bentley.Ifill.v08.09.04.02.For.Microstation.XM 1CD

Bentley InRoads 2004-ISO 1CD

Bentley InRoads Suite V8i SS4 08.11.09.845 Win64 1CD(土木工程项目的完整解决方案)

Bentley.InRoads.Suite.XM.Edition.v8.09.03.06 1CD

Bentley InRoads Group v8.11.05.47 1CD

Bentley Inside Plant V8i v08.11.09.861 1CD

Bentley.Civil.Extension.For.InRoads.XM.v08.09.06.30 1CD(世界级领先的土木工程基础设施设计软件解决方案)

Bentley.IRASB.XM.v08.09.04.59 1CD(专门处理文件转换、变更及复原的工具)

Bentley.Jpeg2000.Support.for.MicroStation.v2.0 1CD(BENTLEY MicroStation的插件)

Bentely LEAP Bridge Concrete CONNECT Edition 18.01.00.16 1CD

Bentley LEAP Bridge Steel CONNECT Edition v18.01.00.22 Win64 1CD

Bentley LEAP Bridge Steel CONNECT Edition v18.00.00.31 Win64 1CD

Bentley LEAP Bridge Concrete CONNECT Edition v17.00.01.01 1CD

Bentley LARS Bridge CONNECT Edition v10.05.00.10 Win32_64 2CD

Bentley.LARS.Bridge.V8i.SS3.06.00.01.08 1CD

Bentley LARS Bridge 06.00.01.07 Win32_64 2CD

Bentley LEAP Bridge Enterprise v14.00.00.19 1CD

Bentley LEAP Bridge Steel CONNECT Edition v17.01.02.06 Win64 1DVD

Bentley LEAP Bridge Steel CONNECT Edition v17.01.00.38 Win32_64 2CD

Bentley LEAP Bridge Steel CONNECT Edition v17.00.02.15 1CD

Bentley LEAP Bridge Steel CONNECT Edition v17.00.00.04 1CD

Bentley.LEAP.Bridge.Steel.V8i.SS2.01.02.00.01 1CD

Bentley LEAP CONBOX V8i(SS6) v14.00.00.19 1CD

Bentley Leap Conspan V8i(SS6) 13.00.00.68 1CD

Bentley LEAP CONSPLICE v01.03.00.03 1CD

Bentley LEAP Geomath V8i(SS6) v14.00.00.19 1CD

Bentley LEAP RC-Pier V8i(SS6) v14.00.00.19 1CD

Bentely LEAP Bridge Steel CONNECT Edition 18.00.01.10 Win64 1CD

Bentley (ex. Microstran) Limcon 03.63.02.06 1CD

Bentley LumenRT Connect Edition V16 Update 10 v16.10.02.62 Win64 1DVD

Bentley LumenRT Content People and Objects V16 Update 5 v16.05.02.70 1DVD

Bentley LumenRT Content Plants V16 Update 5 v16.05.02.70 1DVD

Bentley LumenRT Content Plants v16.03.05.61 1DVD

Bentley LumenRT Content People and Objects v16.03.05.62 1DVD

Bentley LumenRT v15.50.28.03 Win64 1DVD

 

Bentley Map V8i SS4 08.11.09.503 1DVD

Bentley.Map.v8i.XM.08.11.09.91 1CD(全功能地图(GIS)制作工具,包含绘制、计划、设计、建立等制作需求的管理功能,需安装Triforma XM v08.09.03.XX软件)

Bentley Map Enterprise V8i v08.11.09.805 CHS Win32 1DVD

Bentley Map Enterprise V8i (SELECTSeries 4) 08.11.09.503 1DVD

Bentley Map Mobile for Windows 05.05.01.71 Win64 1CD

Bentley MAPscript V8i 08.11.07.05 1CD

Bentley.MAPscript.XM.v08.09.04.04 1CD

 

Bentley MAXSURF CONNECT Edition v21.12.00.10 Win64 1DVD

Bentley Maxsurf CONNECT Edition 21.02.00.05 Win64 1CD

Bentley Maxsurf CONNECT Edition 21.00.00.78 Win32_64 2CD

Bentley Maxsurf Enterprise Suite V8i (SELECTSeries 3) 20.00.06.00 Win32_64 2CD(用于船舶设计与分析过程各阶段的软件)

Bentley MAXSURF CONNECT Edition v21.10.00.39 Win64 1DVD

Bentley Multiframe CONNECT Edition v21 Update 12 v21.12.00.10 1CD

Bentley Multiframe CONNECT Edition v21.12.00.10 Win64 1CD

Bentley Multiframe CONNECT Edition v21.10.00.39 Win64 1CD

Bentley Multiframe CONNECT Edition 21.00.00.78 Win32_64 2CD

Bentley Multiframe Advanced V8i (SELECTSeries 3) 17.00.06.00 Win32_64 2CD

Bentley Multiframe Advanced V8i SS3 17.00.04.08 Win32_64 2CD

Bentley Multiframe Enterprise V8i(SS3) v17.00.01.59 Win32_64 2CD

 

Bentley.MicroStation.J.v07.01.05.03 1CD

Bentley.Microstation.Triforma.XM.v08.09.04.92 1CD (是易用高效的建筑模型软件,它为建筑设计和绘图

                              生产提供了先进的、完整的途径)

Bentley.MineCycle.Material.Handling.V8i.SS3.v08.11.09.86 1CD(BMH散料输送设计软件)

Bentley.Generative.Components.v8i.XM.v8.11.05.36 1CD

Bentley.Generative.Components.v08.09.05.50.for.Triforma.XM 1CD

Bentley.Microstation.V8i.SS4.08.11.09.832 1DVD

Bentley Microstran 09.20.01.35 1CD

Bentley.Microstran.Advanced.09.20.01.24 1CD

Bentley MicroStation TriForma v8.2004 Edition 1CD (是易用高效的建筑模型软件,它为建筑设计和         

                              绘图生产提供了先进的、完整的途径)

Bentley MicroStation V8i SS4 v08.11.09.714 Win64 1DVD

Bentley MicroStation V8i 08.11.09.578 1DVD(用以作为建筑、土木工程、交通运输、厂房设计、各式的生

                         产设备管理、政府部门、公用事业和电信网络等解决方案的核心)

Bentley.Microstation.2004.v08.05.02.55 1CD(重量级的电脑辅助设计类软件,被广泛的运用与建筑设计、军事、结构设计领域)

Bentley MicroStation V8i 08.11.09.292 SDK 1CD

Bentley.MicroStation V8i 08.11.09.03 Prerequisites 1CD

Bentley MicroStation v8 2004 Edition-ISO  1CD (AUTOCAD功能相当,直接读写 AutoCAD 2004 版 DWG,PDF 直接输出)

Bentley MicroStation v8 中文使用手册

Bentley.Microstation.Architecture.XM.v08.09.04.30 1CD

Bentley.Microstation.GEOPAK.Civil.Engineering.Suite.XM.v08.09.06.30 1CD

Bentley.Microstation.GEOPAK.Site.XM.v08.09.06.30 1CD(为场地设计提供了一个可视化的交互环境,项目面向网站设计版本)

Bentley.Microstation.GEOPAK.Survey.XM.Edition.v08.09.07.28 1CD(为场地设计提供了一个可视化的交互环境,测量应用版本)

Bentley.Civil.Extension.for.Geopak.XM.v08.09.07.28 1CD(须先安装Bentley.Microstation.Architecture.XM.v08.09.04.30)

Bentley.Civil.Enhancements.Roundabouts.for.GEOPAK.v08.09.05.01 1CD

Bentley.Civil.Extension.For.InRoads.XM.v8.09.03.06 1CD

Bentley MicroStation Connect Edition Update 7 10.07.00.39 Full 1DVD

Bentley.Microstation.Geopak.Civil.Engineering.Suite.XM.v08.09.07.28 1CD

Bentley.MicroStation.GeoGraphics.v8.05.02.11 1CD 

Bentley MicroStation GeoGraphics v8.2004Edition 1CD(将MicroStation工业标准资料的获取及编辑工具,

                              完整的资料库界面及空间分析功能完美地结合在一起 )

Bentley.Microstation.Prerequisite.Pack.v8.09.04.01 1CD

Bentley.Microstation.Structural.XM.v08.09.04.39 1CD

Bentley.MicroStation.Web-Drop.v8.05.02.09 1CD

Bentley.Microstation.XM.v8.09.04.51 1CD(用以做为建筑、土木工程、交通运输、工厂设计、各式的生产

                        设备管理、政府部门、公用事业和电讯网路等解决方案的核心)

Bentley.Microstation.XM.Architectural.v8.09.02.53 1CD(辅助设计类软件,运用于建筑设计、军事、结构设计领域)

Bentley.Microstation.XM.Google.toolkit.v8.09.02.77 1CD

Bentley (ex. Microstran) MSTower v06.20.01.11 1CD

 

Bentley MX V8i United Kingdom 08.11.09.845 1DVD

Bentley MX V8i United Kingdom v08.11.09.845 Win64 1DVD

Bentley MX V8i International 08.11.09.493 1DVD

Bentley MX V8i International v08.11.07.536 Win32_64 1CD

Bentley MX V8i SS4 08.11.09.872 1DVD

Bentley MX V8i 08.11.07.494 Australasia 1DVD

Bentley MX V8i (SELECTseries 2) 08.11.07.494 United Kingdom 1CD

Bentley MX V8i (SELECTseries 2) 08.11.07.536 International 1CD

Bentley MX 2004 Edition 1CD(提供以線串(string)為基礎的土木工程解決方案,涵蓋道路、鐵路與整地設計)

Bentley MXROAD Suite V8i International 08.11.09.879 1DVD

Bentley MXROAD Suite V8i SS4 08.11.09.789 1DVD(用于公路和高速路设计的基于线性基础的建模工具)

Bentley MX Tools V8i XM Edition 08.09.04.40 1CD

 

Bentley OnSite V8i 08.11.05.28 1CD

Bentley OpenBridge Designer v01.00.00.21 Win64 1CD

Bentley OpenPlant Isometrics Manager CONNECT Edition Update 1 v10.01.00.25 Win64 1DVD

Bentley OpenPlant Electrical China Country Kits China v08.11.13.20 CHS 1CD

Bentley OpenPlant Isometric Manager V8i v08.11.09.440 CHS 1DVD

Bentley.OpenPlant.Isometric.Manager.V8i.SS5.08.11.09.404 1CD

Bentley OpenPlant Modeler CONNECT Edition Update 1 v10.01.00.23 Win64 1DVD

Bentley OpenPlant Modeler V8i v08.11.09.440 CHS 1DVD

Bentley.OpenPlant.Modeller.V8i.SS5.v08.11.09.440 1CD

Bentley OpenPlant Orthographics Manager Update 1 v10.01.00.25 Win64 1DVD

Bentley OpenPlant Orthographics Manager CONNECT Edition v10.00.00.119 Win32_64 2DVD

Bentley OpenPlant Orthographics Manager v08.11.09.455 CHS 1CD

Bentley OpenPlant PID CONNECT Edition Update 1 v10.01.00.25 Win64 1DVD

Bentley OpenPlant PID CONNECT Edition v10.00.00.119 Win32_64 2DVD

Bentley OpenPlant PID V8i v08.11.11.223 CHS 1DVD

Bentley OpenPlant PowerPID v8i SS5 08.11.10.520 1DVD

Bentley OpenPlant Project Administrator CONNECT Edition Update 1 v10.01.00.25 Win64 1DVD

Bentley OpenPlant Project Administrator CONNECT Edition v10.00.00.119 Win32_64 2DVD

Bentley OpenPlant Project Administrator V8i v08.11.11.225 CHS 1CD

Bentley OpenPlant Reporting V8i v08.11.09.440 CHS 1CD

Bentley OpenPlant Reporting V8i v08.11.11.225 CHS 1CD

Bentley OpenPlant Support Engineering CONNECT Edition Update 1 v10.01.00.23 Win64 1DVD

Bentley OpenPlant Support Engineering CONNECT Edition v10.00.00.119 Win32_64 2DVD

Bentley OpenRoads Designer CONNECT Edition v10.03.00.43 Win64 1CD

Bentley OpenRoads Designer CONNECT Edition v10.03.00.43 CHS Win64 1DVD

Bentley OpenRoads ConceptStation CONNECT Edition v10.00.06.45 Win64 1DVD

Bentley OpenRail ConceptStation CONNECT Edition v10 Update 7 1DVD(轨道设计软件)

Bentley OpenUtilities Designer v08.11.09.869 1DVD

Bentley OpenUtilities Map v08.11.09.858 1DVD

Bentley OpenUtilities Powerview v08.11.09.858 1DVD

Bentley OpenUtilities Workflow Manager v08.11.09.858 1CD

Bentley.Parametric.Cell.Studio.v8.05.03.13 1CD

Bentley PlantFLOW V8i 06.02.00.05 1CD

Bentley.PlantSpace.Design.Series.XM.v08.09.04.34 1CD

Bentley promis•e V8i v08.11.12.101 Standalone 1DVD

Bentley promis-e V8i SS7 08.11.12.88 1DVD

Bentley SupportModeler for PlantSpace v8i 08.09.04.13 1CD

Bentley.PlantWise.XM.v8.09.04.00 1CD

Bentley PondPack V8i 08.11.01.56 1CD

Bentley PondPack v10.01.04.00 1CD

Bentley PowerDraft Database Server v8.05.01.25 1CD

Bentley Power InRoads V8i SS4 v08.11.09.845 Win64 1DVD

Bentley.Power.InRoads.V8i.SS4.v08.11.09.788 1DVD

Bentley Power ProStructures V8i v08.11.11.616 1DVD

Bentley.MicroStation.PowerDraft.V8i.08.11.09.397 1CD

Bentley.Microstation.Powerdraft.v8i.XM.v8.11.05.17 1CD(适用于各个领域的重要 2D 绘图工具)

Bentley Navigator V8i (SELECTseries 5) v08.11.09.536 1CD

Bentely MOSES CONNECT Edition v10.13.00.19 Win64 1DVD

Bentley MOSES CONNECT Edition 10.10.00.40 Win32_64 2CD

Bentley.MOSES.SS2.V8i.07.10.00.17.Enterprise.Suite.Win32_64 2CD

Bentley PowerSurvey V8i SS4 v08.11.09.845 Win64 1DVD

Bentley PowerSurvey V8i SS4 08.11.09.788 1DVD

Bentley.PowerSurvey.for.Powerdraft.XM.v08.09.06.30 1CD(测量数据的管理方案)

Bentley.PowerCivil.V8i.08.11.07.614 1CD

Bentley PowerCivil for China Country Kit V8i 08.11.09.501 1CD

Bentley PowerCivil for China V8i 08.11.09.501 1DVD

Bentley PowerCivil for Spain V8i 08.11.09.497 1DVD

Bentley.PowerCivil.v08.09.05.36.for.Powerdraft.XM 1CD

Bentley Power GEOPAK V8i SS4 v08.11.09.845 Win64 1DVD

Bentley.Power.GEOPAK.V8i.SS4 08.11.09.788 1DVD

Bentley.PowerMap.V8i.v8.11.05.18 1CD

Bentley.Power.Rail.Track.V8i.08.11.07.615 1DVD

Bentley.Process.and.Instrumentation.v08.06.00.14 1CD(生产流程规划与仪器仪表控制管理系统软件)

Bentley Process & Instrumentation V8i 08.11.11.113 Win64 1CD

Bentley ProStructures V8i ObjectEnabler for AutoCAD v08.11.11.207 Win64 1CD

Bentley ProSteel V8i 08.11.00.19 AutoCAD Service Pack 2 1CD

Bentley ProSteel 3D v18 and Proconcrete 3D v18 1CD

Bentley ProStructures V8i (SELECTseries 8) v08.11.14.195 1DVD

Bentley ProStructures V8i PowerProduct SS7 08.11.11.616 1DVD

Bentley ProStructures V8i for AutoCAD v08.11.11.207 Win64 1CD

Bentley ProStructures V8i SELECTseries 6 08.11.11.87 for Autodesk AutoCAD 2010-2015 1DVD(三维结构建模软件)

Bentley.ProStructures.V8i.(SELECTseries 4).v08.11.04.52 1DVD

Bentley ProStructures V8i (SELECTSeries 5) 08.11.10.54 for AutoCAD 1CD

Bentley.ProStructures.V8i.SS6.v8.11.11.45.for.ACAD.2010.2014 1DVD

Bentley ProStructures V8i for MicroStation 08.11.10.35 for AutoCAD 1CD

Bentley PULS XM Edition v08.09.00.28 1CD

Bentley Rail Track V8i 08.11.09.845 Win64 1CD

Bentley Rail Track V8i 08.11.07.685 1CD

ProSteel 3D v8i (08.11.00.11) for AutoCAD 2004-2009 1CD

ProSteel 3D v18 for AutoCAD 2006 1CD(汉化中文版)

ProSteel 3D 中文使用入门

 

Bentley Raceway and Cable Management v08.11.09.34 CHS Win32 1DVD

Bentley Raceway and Cable Management V8i 08.11.07.31 1CD

Bentley.Rebar.XM.v08.09.05.27 1CD

Bentley.Redline.XM.v08.09.04.51 1CD(对于开发小组中浏览,标注,缩放打印的解决方案。主要针对DGN和DWG文件)

Bentley.Revit.Plugin.V8i.XM.v8.11.05.26 1CD

Bentely BridgeMaster China 12.01.02.01 1CD

Bentely BridgeMaster China Update 1 v13.05.01.01 1CD

Bentley RAM Advanse v09.00.00.04 1CD

Bentley RM Bridge Advanced CONNECT Edition v11.02.00.14 Win64 1DVD

Bentley RM Bridge Advanced Enterprise CONNECT Edition v11.02.00.14 Win64 1DVD

Bentley RM Bridge CONNECT Edition v11.02.00.14 Win64 1DVD

Bentley RM Bridge Advanced CONNECT Edition v10.03.01.01 1DVD

Bentley RM Bridge Advanced+ V8i v08.11.28.02 Win64 1CD

Bentley.RM.Bridge.Advanced.V8i.08.11.18.01 1DVD

Bentley.RM.Bridge.Advanced.V8i.v08.11.11.01.Win32_64 2CD

Bentley RM Bridge CONNECT Edition 10.03.00.18 1CD

Bentley RM Bridge(TDV) V8i (SELECTseries 3) 08.10.18.01 1CD

Bentley RM Bridge V8i 08.10.03.01 Prerequisite Pack 1CD

Bentley RM Bridge View V8i SS1 08.11.30.04 Win64 1DVD

Bentley RM Bridge View V8i v08.11.28.02 1CD

Bentley SACS CONNECT Edition v12.00.00.01 1DVD

Bentley SACS CONNECT Edition v11.00.00.01 Win32_64 1DVD(海洋平台结构分析)

Bentley (ex. Engineering Dynamics) SACS V8i SS3 v05.07.00.01 1CD(海洋平台结构分析)

Bentley sisIMS V8i v08.11.09.09 1CD

Bentley STAAD Advanced Concrete Design RCDC v07.00.02.09 1CD

Bentley STAAD Foundation Advanced CONNECT Edition 08.04.01.24 1CD

Bentley STAAD Foundation Advanced V8i SS3 07.02.00.00 1CD

Bentley SewerCAD CONNECT Edition V10 Update1 v10.01.01.04 1CD

Bentley.SewerCAD.CONNECT.Edition.Update1.v10.01.00.70 1CD

Bentley.SewerCAD.V8i.SS5.08.11.05.113 1CD

Bentley.SewerCAD.V8i.v08.11.05.113.Win64 1CD

Bentley SewerGEMS CONNECT Edition 10.01.00.70 1CD

Bentley SewerGEMS CONNECT Edition V10 Update1 v10.01.01.04 1CD

Bentley.SewerGEMS.CONNECT.Edition.Update1.v10.01.00.70 1CD

Bentley SewerGEMS CONNECT Edition 10.00.00.40 1CD

Bentley.SewerGEMS.V8i.SS5.08.11.05.113 1CD

Bentley SewerGEMS V8i (SELECTseries 3) v08.11.03.77 Win32_64 1CD

Bentley sisHYD V8i Update 2 Full v08.11.07.72 Win32 1CD

Bentley.Speedikon.Architectural.v07.06.00.07 1CD(强大的2D/3D CAD系统)

Bentley.speedikon.Architectural.v08.09.00.31.for.MicroStation.XM 1CD

Bentley.speedikon.Industrial.v07.06.00.07 1CD(强大的2D/3D CAD系统)

Bentley.speedikon.Industrial.v08.09.00.31.for.MicroStation.XM 1CD

Bentley.speedikon.Project.Explorer.v7.6.01 1CD(工程数据查看和管理工具)

Bentley.Substation.V8i.SS7.08.11.12.75 1DVD

Bentley.Pointools.V8i.02.00.01.04 1CD

Bentley Pointools Edit 1.8 pro & Pointools View 1.5 Pro 1CD

Bentley ProjectWise V8i SS4 08.11.11.590 1DVD

Bentley ProjectWise V8i v08.11.11.590 Admin 1CD

Bentley ProjectWise V8i v08.11.11.590 Server 1DVD

Bentley.SormCAD.XM.v05.06.012.00 1CD(用于分析和设计城市下水道系统的软件)

Bentley.STAAD.RCDC.V8i.06.00.01.01 1CD

Bentley STAAD RCDC FE v02.01.01.07 Win64 1CD

Bentley.STAAD.Pro.Advanced.Connect.Edition.Update1.v21.00.01.12 1CD

Bentley STAAD.Pro CONNECT Edition v21.00.02.43 Win64 1CD

Bentley.STAAD.Pro.Connect.Edition.v21.00.00.57 1CD

Bentley STAAD.Pro SS6 V8i 20.07.11.82 1CD

Bentley.STAAD.Pro.V8i.SS6.v20.07.11.45 1DVD(土木结构分析)

        including STAAD.foundation V8i SS4 release 5.3 

Bentley STAAD Foundation V8i (SELECT Series4) 05.03.00.32 1CD

Bentley STAAD.Offshore v03.00.01.02 1CD

Bentley STAAD Planwin v14.00.16.00 1CD

Bentley STAAD Chinese Steel Design Code (SSDD) v20.07.07.02 CHS 1CD

Bentley.STAAD(X).V8i.v08.02.02.75 1CD

Bentley STAAD(X) Tower V8i 08.02.03.12 1CD

Bentley.STAAD S-Cube RCDC 6.0.1.1 1CD

Bentley Storm Sewer Products - Cumulative Patch Set for build 08.11.04.54 1CD

Bentley Water Hydraulics Products - Cumulative Patch Set for build 08.11.04.58 1CD

Bentley StormCAD CONNECT Edition V10 Update1 v10.01.01.04 Win64 1CD

Bentley.StormCAD.CONNECT.Edition.Update1.v10.01.00.70 1CD

Bentley StormCAD CONNECT Edition 10.00.00.40 1CD

Bentley StormCAD V8i SS5 08.11.05.113 1CD

Bentley StormCAD V8i (SELECTseries 3) v08.11.03.77 Win32_64 1CD

Bentley Structural Dashboard V8i 08.11.09.08 1CD

Bentley Structural Modeler V8i 08.11.07.94 1CD

Bentley Structural Synchronizer V8i SS5 08.11.11.46 Win32_64 2CD

Bentley Structural 2004 1CD(具有创造、计算、产生文件及分析复杂的钢构、木架构柱及混凝土结构的能力)

Bentley.Structural.V8i.XM.v8.11.05.50 1CD(结构设计所建立的模块,针对于包括钢构, 混凝土,木架构等

                         多种不同之结构系统进行结构设计,建立分析模型及产生计算报表)

Bentley.Tas.Simulator.v8i.v9.01.03.01 1CD

Bentley TopoGRAPH V8i v08.11.09.95 1CD

Bentley TriForma v8.05.04.18 1CD(针对建筑及整厂设计需求所提供的工程模块)

Bentley.TriForma.IFC.2x.Interface.v8.05.02.17 1CD

 

Bentley Utilities Designer V8i v08.11.09.67 1CD

Bentley Visualization Enhancements 2004 1CD(MicroStation上的RPC插件。用于在3D场景中添加“真实”的人、树等)

Bentley Water V8i 08.11.07.120 1CD

Bentley Wastewater v8.5 1CD

Bentley WaterCAD CONNECT Edition v10.01.00.72 Win64 1CD

Bentley WaterCAD CONNECT Edition 10.00.00.50 1CD

Bentley WaterCAD & WaterGEMS V8i SS6 v08.11.06.113 2CD

Bentley.WaterCAD.V8i.SS5.08.11.05.61 1CD(给水管网建模与管理工具)

Bentley WaterGEMS CONNECT Edition v10.01.00.72 Win64 1CD

Bentley WaterGEMS CONNECT Edition 10.00.00.50 1CD

Bentley.WaterGEMS.V8i.SS5.08.11.05.61 1CD

Bentley WaterGEMS V8i (SELECT series 2) 08.11.03.19 Win32_64 1CD(综合易用的给水管网建模解决方案)

Bentley Water Hydraulics Products - Cumulative Patch Set for build 08.11.04.57 1CD

Bentley Web Services Gateway 01.02.01.31 Win64 1CD

Bentley Winnozl v03.01.08 1CD(设备/管嘴连接点处的局部应力分析)

Pointools Edit Pro v1.5 Win64 1CD

Pointools POD Creator v1.1 Win64 1CD

Bentley.View.V8i.SS3.v08.11.09.584 1CD

ISM Revit Plugin CONNECT Edition 10.01.00.13 1CD

Pointools View Pro v1.8 Win64 1CD

Prerequisites for Bentley Desktop Applications v08.11.09.03 1CD

Staad China 2001 1CD(除SSDD外,其他都好用)    Staad China 2004中文帮助    ReiWorld Staad Beam v2.0(是STAAD结构分析和产品设计的最新产品。它能够设计合成,非合成或者部分合成的钢梁结构)

 

Terrasolid.Suite.v18 1CD

Terrasolid.Suite.v017.for.Bentley.Microstation.CONNECT.V8i.V8.for.Windows 1CD

Terrasolid Suite v015-v016 for Microstation CONNECT, V8i, V8 & for Windows platforms Win32_64 1CD

included:

      Win64:

         TerraScan64 v016.002

         TerraModeler64 v016.002

         TerraPhoto64 v016.002

         TerraMatch64 v015.022

         TerraScan64 Lite v016.002

         TerraModeler64 Lite v016.002

         TerraPhoto64 Lite v016.002

         TerraSlave64 v016.002

         TerraStereo v015.003

              Win32:

         TerraScan v016.001

         TerraModeler v016.001

         TerraPhoto v016.001

         TerraMatch v016.001

         TerraSurvey v015.002

         TerraScan Lite v016.001

         TerraModeler Lite v016.001

         TerraPhoto Lite v016.001

         TerraSurvey Lite v015.002

         TerraStreet v015.004

         TerraStreet Lite v015.004

         TerraPipe v015.009

         TerraGas v015.005

         TerraSlave v016.001, License Server&Manager v015.001

 

Terrasolid.pack.v014.for.Bentley.Microstation.V8i.for.Windows 1CD

TerraSolid Products v13 1CD

Terrasolid.pack.v013.for.Bentley.Microstation.V8i.for.Windows 1CD

Drain 2DX 源程序 1CD

 

RAM.Concept.v06.02.00.014.Connect.Edition.Update2.Win32_64 2CD

RAM Concept CONNECT Edition Update 5 v06.05.00.26 Win64 1CD

RAM Concept CONNECT Edition 06.00.00.30 Win32_64 2CD

RAM Concept CONNECT Edition 06.03.00.06 Update 3 Win64 1CD

RAM.Concept.V8i.SS9.v05.02.01.10.Win32_64 2CD

RAM Connection CONNECT Edition 12.00.01.40 1CD

RAM Connection CONNECT Edition v11.01.01.240 1CD

RAM Connection CONNECT Edition Update 2 v11.02.00.13 1CD

RAM Elements CONNECT Edition V15 v15.00.00.18 Win64 1DVD

RAM Elements CONNECT Edition v14.00.01.08 1CD

RAM.Elements.SS4.V8i.13.04.01.208.Connect.Edition.OpenSTAAD.OEM.08.02.09.47 1CD(结构工程软件)

RAM SBeam v05.01.00 1CD

RAM Structural System CONNECT Edition v15.09.00.13 Win64 1CD

RAM Structural System CONNECT Edition 15.00.00.00 Win32_64 2CD

RAM.Structural.System.v15.04.00.000.Connect.edition.Update4.Win32_64 2CD

RAM.Structural.System.V8i.SS7.14.07.01.01.Win32_64 2CD(帮助建筑工程师提高生产效率,达到更好更快的产出)

 

QuikSoft产品:

QuikSoft Merlin v5.35 1CD

QuikSoft QuikBeam v4.20 1CD

QuikSoft.QuikEC3 v1.11 1CD

QuikSoft.QuikFrame.v8.42 1CD

QuikSoft.QuikJoint.v8.20 1CD

QuikSoft.QuikPort.v7.22 1CD

 

AutoDsys产品:

AcceliCAD 2010.v6.6.26.25A-ISO 1CD(包含极角追踪,浮动快捷菜单,平视键盘显示和32bit全彩用户界面)

 

Bricsys相关:

Bricscad.Platinum.v15.2.05.38150.Win32_64 2CD

BricsCAD IntelliCAD Pro v4.1.0040 1CD

BricsCad.Structural.Frames.v2.1.0004 1CD

BricsCAD Architecturals v4.0.0008 for IntelliCAD 1CD

BricsCAD Architecturals v4.1.0015 for AutoCAD 1CD

BricsCAD.Architecturals.v4.1.0015.for.BricsCad 1CD

CAD-Earth 2013 v3.1.6 for BricsCAD v13-14 Pro and Platinum Win32 2CD

CGSLabs.2017.2061.for.BricsCAD.Win64 1DVD

 

Avanquest Architect 3D Ultimate 2017 19.0.1.1001 1DVD

Chief.Architect.v12-ISO 1DVD(首席建筑师,3D 建筑设计软件)

 

IntelliCAD 2009 Pro Plus v6.4.23.2 1CD

IntelliCAD.Fine.ELEC.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.FIRE.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.HVAC.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.LIFT.10.NG.v6.6.59.3 1CD

IntelliCAD.Fine.SANI.10.NG.v6.6.59.3 1CD

IntelliCAD.IDEA.10.NG.v6.6.59.3 1CD

 

Spatial.Analyzer.v2004.05.23 1CD(工程应用测量软件)

 

mb产品:

mb AEC WorkSuite 2014 German 1DVD

 

Progesoft产品:

progeCAD 2019 Professional 19.0.4.7 Win64 1CD

ProgeSOFT.ProgeCAD.2018.Professional.v18.0.10.6.Win64 1CD

ProgeSOFT ProgeCAD 2018 Professional v18.0.8.42 Win32_64 2CD

ProgeSOFT ProgeCAD 2018 Professional 18.0.6.11 Win32_64 2CD

ProgeSOFT.ProgeCAD.2017.Professional.v17.0.6.15.Win32_64 2CD

ProgeCAD.2016.Professional.v16.0.19.23-ISO 1CD

ProgeCAD.2014.Professional.v14.0.10.14 1CD

ProgeCAD.2014.Professional.v14.0.10.1.PL 1CD

ProgeARC 2006 for ProgeCAD-ISO 1CD

ProgeMEC v2006 For ProgeCAD 1CD(ProgeCAD的一款插件)

 

GDS产品:

Informatix Piranesi.2010.Pro.v6.0.0.3672 1CD(Piranesi空间彩绘专家)

Informatix.MicroGDS.Pro.v9.0-ISO 1CD

Informatix Microgds Pro v8.0 Addon 1CD(帮助文件)

Informatix Microgds Viewer v8.0 1CD

2SI.PRO.SAP.RY2016(a).v16.0.0 1CD(专业的结构分析程序)

ACCA.Software.Edificius.v11.0.1.Win64 1CD

ACCA Software EdiLus v42.0.1.13931 1CD(结构分析软件)

Alfredo Ochante Mendoza CPOC (Costos y Presupuestos en Obras Civiles) v8.20 1CD(成本和预算的制定)

Amberg.TMS.Office.v1.1.0.10 1CD

American Concrete Pavement Association StreetPave 12 v1 Patch 8 1CD(普通混凝土路面厚度设计)

ArmaCAD v8.1 1CD(钢筋制图软件)

ASDIP.StructuralConcrete.v3.3.5.Structural.Foundation.v3.2.3.Structural.Retain.v3.7.1.Structural.Steel.v4.1.5 4CD

ASDIP.Concrete.v2.0.1 1CD

ASDIP.Foundation.v2.6.3 1CD

ASDIP.Retain.v2.1.7 1CD

Asdip Steel v2.27 1CD

ASDIP.Structural.Concrete3.v3.3.5 1CD

ASDIP.Structural.Retain.v3.7.1 1CD

ASDIP.Structural.Foundation3.v3.2.3 1CD

ASDIP.Structural.Steel.v4.1.5 1CD

Aspalathosoft.Aspalathos.v2.1 1CD

Atena.v3.3.2 1CD(钢筋混凝土有限元分析软件)

ATENA.Masonary.Quake.v3.8.14998 1CD(砌块软件)

AvtodorPave.v1.0 1CD(用于设计和计算路面的软件包)

Avenir HeatCAD 2014 Professional MJ8 v5.0.0480 1CD(快速创建专业品质的电路布局图进行辐射采暖系统)

Avenir LoopCAD MJ8 Edition 2014 v5.0.108 1CD

AxisVM v10 1CD

Better.Homes.and.Gardens.Landscape.and.Deck.Designer.v7.0-ISO 2CD(设计装饰软件)

Buhodra.Ingenieria.ISTRAM.ISPOL.v9.34 1CD

Bureau.Veritas.Steel.v3.0e 1CD

CADlogic Draft IT v4.0.22 Architectural Edition Win32_64 2CD

CADS 2018.1 Analysis-Modelling-Design Suite 1DVD(专门从事土木和结构工程设计和详细设计软件)

CASTeR v5.32 1CD

CoP 2005 R02 1CD

Critical.Tools.PERT.Chart.Expert.v2.7a 1CD

Critical.Tools.WBS.Chart.Pro.v4.8a 1CD

Datacubist.Simplebim.v5.0 1CD

Deltares.Breakwat.v3.3.0.21 1CD(海堤结构设计)

DynaN v2.0.7 1CD

DynaRoad v5.5.4 Win32_64 2CD

Edificius 3D Architectural BIM Design 9.00d 1CD

Elevate v6.01 1CD(为所有类型的新建筑选用电梯的数量、大小和速度的软件,论证现有的电梯安装,改善乘客服务)

EIBA.ETS3.v3.0f.Multilanguage 1CD(KNX/EIB 工程分析)

Enercalc v6.1.03 1CD

ENERCALC.Structural.Engineerin.Library.v6.16.8.31.RetainPro.v11.16.07.15 1CD

ENERCALC.Structural.Engineering.Library.v6.11.6.23 1CD

Friedrich & Lochner Statik v2008.2 SL1-ISO 1CD(工程结构分析软件)

HAC Innovations eTank 2016 v1.2.60 1CD

HELiOS.v2015.Win64-ISO 1DVD

HELiOS v2014 SP1 Win32_64 2CD

HiCAD.v2015.Win64-ISO 1DVD

HiCAD v2014 SP2 Win32_64 2DVD

ISD.HiCAD.&.HELiOS.v2018.Win64-ISO 1DVD

IDEA StatiCa 9.0.21.48860 Win64 1CD

IDEA.StatiCa.v8.0.22.Win32_64 2CD

InGeomatics Mr.CAD Professional Edition v7.0 1CD

InGeomatics.MrCAD.SA3.v3.0.r.104 1CD

Knowledge.Base.Civil.Designer.2014 1CD

LimitState.GEO.v3.2.d.17995.Win32_64 2CD(岩土稳定性分析软件)

LimitState.RING.v3.2.a.20141.Win32_64 2CD(圬工拱桥的二维分析软件)

LimitState.SLAB.v1.0.d.18482.Win32_64 2CD

Luxology Modo v3.02 1CD(新型多边形和细分建模软件。有先进的实时表面分层引擎、快速并且灵活的模块创建工具)

Luxology Modo v203 Macosx 1CD

Lysaght.SupaPurlin.v3.2.0 1CD

MecaStack v5.1.9.7 1CD

MechaTools ShapeDesigner SaaS 2013 1CD(结构工程师的有用工具,用于设计或钢,铝的分析,和聚合物或复合材料的部分)

MECA.StackDes.v4.37.Win32 1CD

Plexscap.Plexearth.2.5.for.AutoCAD.v2012 1CD

PointCAB v3.4.0 R0 Win64 1CD(建筑扫描CAD软件)

Proektsoft.Design.Expert.v3.3.2 1CD

Proektsoft.Design.Expert.v2.7.3.eng.v3.0.2.bul 2CD(建筑和钢结构设计软件)

Proektsoft.PSCAD.v2.3 1CD(层结构建筑设计软件)

ProtaStructure.Suite.Enterprise.2018.SP1 1CD(多材料结构分析)

Qmsys.Tolerances.And.Fits.v5.4 1CD

ReSpectrum 2005 1CD

RUNET.BETONexpress.03.07.2018 1CD(钢筋混凝土的结构元素设计软件)

RUNET EurocodeExpress 03.07.2018 1CD(集成且易于理解的软件,包括所有结构欧洲规范)

RUNET FRAME2Dexpress v20.01.2013 1CD

RUNET.Steel.Portal.Frame.EC3.v03.07.2018 1CD(钢门架结构设计软件)

RUNET Software cgFLOAT v02.01.2012 1CD

RUNET software cgWindWaves v02.01.2012 1CD

RUNET software FEDRA v10.10.2012 1CD

RUNET software frame2Dexpress.v03.07.2018 1CD

RUNET software STEELexpress v03.07.2018 1CD(设计结构钢的结构元素)

RUNET.Steel.Sections.EC3.v03.07.2018 1CD(结构钢截面设计表)

RUNET WOODexpress v03.07.2018 1CD(结构木材设计软件)

S-FRAME.Product.Suite.2017.Enterprise 1DVD

    included:

         S-CONCRETE 2017 v17.2.2

         S-FRAME 2017 v17.1.2

         S-LINE 2017 v17.1.2

         S-PAD 2017 v17.0.4

S-FRAME P-FRAME Professional 2017.1.1 1CD

S-FRAME S-Concrete 2017.2.2 1CD

S-FRAME S-Line 2017.0.4 1CD

S-FRAME S-PAD 2017.1.2 1CD

S-FRAME Structural Office v11.0 Win32_64 2DVD(结构分析和设计软件)

include:

       S-FOUNDATION 2014 v1.4.6

       S-CALC 2013 v1.51

       S-CONCRETE 2013 v11.00.32(综合部分的钢筋混凝土梁,柱和墙的设计和细节工具)

       S-FRAME 2013 Enterprise v11.00.23(完整的结构建模,分析和设计环境)

       S-LINE 2013 v11.00.10(钢筋混凝土连续梁结构的分析,设计和细节的直观而强大的独立的应用程序)

       S-PAD 2013 v11.00(独立的钢结构设计和优化产品)

       S-VIEW 2013 v1.0.1

SofiCAD.v17.1.BiLingual-ISO 1CD(基于AutoCAD的建筑结构设计分析软件)

SOFiSTiK.Reinforcement.Detailing.Generation.2019 1CD

SOFiSTiK 2016 R2 SP2016-5 1DVD(通用软件包,使用有限元分析(FEA)的方法来计算和结构工程,的任何复杂的问题,气体和流体动力学的分析岩土工程解决方案)

Structural Analysis of Frame Installations (SAFI) v6.5.2-ISO 1CD

Structural Aluminum Design 3.2 1CD

Structural Composite Steel Design 2.1 1CD

Structural Concrete Beam Design 4.1 1CD

Structural Concrete Column Design 4.1 1CD

Structural Flat Slab Analysis and Designn 2.2 1CD

Structural General Section Properties 2.2 1CD

Structural Masonry Wall 7.2 1CD

Structural Multiple Load Footing 4.6 1CD

Structural Pile Cap Analysis and Design 1.0.0.6 1CD

Structural Pile Group Analysis 2.2 1CD

Structural Retaining Wall 8.1 1CD

Structural Shear Wall Analysis 2.0 1CD

Structural Spread Footing 3.2 1CD

Structural Static Pile Analysis 2.0 1CD

Structural Steel Design 6.4 1CD

Structural Timber Design 12.2 1CD

Structural VersaFrame 8.12 1CD

Structural Wind Analysis 9.2 1CD

ShapeCAD.v2.0.WinALL 1CD(建造结构形状的用来装配和计算截面属性的图形工具)

Sika.CarboDur.v4.2 1CD(结构强度计算软件)

SPT 97 Application v1.5 1CD

STA.DATA.TreMuri.Pro.v11.0.0.10 1CD(用于通过非线性(pushover)和静态分析分析砖石和混合材料中的结构的软件)

Stonec Column v2.1 1CD

Struds v2010 1CD

Tools S.A. CLIP v1.27.49.251 1CD

Trimble.TILOS.v10.1 1CD

Trimble TILOS 9.1 Build 145 ENG & CHS 2CD

Trimble TILOS v9.0 1CD(线性施工项目规划管理系统)

TSoft.AxPile.v1.0.0.BearFoot.v3.0.0 1CD

TSoft.BeamElas.v2.2.0.&.SoilClass.v2.0.0 1CD

TSoft.RetWall.v2.0.0.Settle.v1.1.0 1CD

Vico.Software.Constuctor.2008.v1.0.0 1CD(建筑施工前项目虚拟分析)

WinCSD v1.0.0 1CD

S-S.Abbund.Master.Edition.v20.1.Multilingual-ISO 1CD(建筑CAD)

Steel Water Pipe Design Software v2007 1CD(输水管线结构设计软件)

TerrianCAD v1.0.3 1CD

 

TBSA 6.0 2002.12.19 单机 1CD

TBSA 6.0-ISO正式版 1CD(用来分析多层及高层建筑结构的专用程序)

TechUnlimited.PlanSwift.v9.0.8.16 1CD

WinTSBSA v1.0 1CD

XP Solutions xpsite3D v1.381 1CD

ZwSoft.ZWCAD.Architecture.2017.v2016.09.30.10203.Win64 1DVD

ZwSoft.ZWCAD.Mechanical.2017.v2016.10.24.10726.Win64 1DVD

ZWCAD.ZW3D.v2016.CHINESE.Win32_64 2DVD

ZWCAD.ZW3D.2016.v7.0.16.0411.Win32_64 2DVD

ZWSOFT ZWCAD+ 2015 SP3 1CD(英文版)

ZWCAD.Architecture.v2015.08.15 1CD

ZWCAD.Design.Software.ZWCAD.Plus.v2012.08.30 1CD

ZWCAD.Mechanical.v2015.08.26 1CD

ZWCAD.Plus.Pro.v2015.08.15.SP3 1CD

ZWCAD.ZW3D.v2015.Win32_64 2CD

CAD-Earth 2013 v3.1.6 for ZWCAD+ 2012 Professional 1CD  

圆方软件BtoCAD 2009A v0416.11 1CD

圆方室内设计系统9.0-ISO 4CD(系统盘2CD+虚拟现实图库1CD+系统图库1CD)

圆方 v8.0 1CD

圆方室内设计系统8015 2CD

圆方家居设计系统2003 V3.0  1CD

圆方家居设计软件2.0 1CD

 

西飞门窗设计软件 1CD

中望CAD.2013.简体中文版 1DVD

中望RDMAX v4.2 单机版 1CD

ZWCAD.Professional.v2010.06.30.14725.292 1CD(中望CAD最新版)

 

清华斯维尔产品:

清华斯维尔2006专业版-ISO 1CD(包括:清华建筑TH-Arch2006、清华设备TH-Mech2006、安装算量TH-3DM2006)

清华斯维尔三维计量 2004

 

HHK GEOgraf CAD v3.0e 1258 WinALL 1CD(一款CAD软件,用于绘制位置图、面积计算和绘制建筑草图)

HHK GEOgraf Info v3.0d 1CD

HHK GEOgraf ViewerPRO v3.0d 1CD

 

2S.I. PRO_SAP RY2015b v15.0.1 1CD(专业的结构分析软件)

AASHTOWare Bridge v6.6.Win64 1DVD(桥梁设计)

AMSES Frame2D v 2.0.2.Build.2.0.0.289(结构分析)

Ashampoo.3D.CAD.Architecture.6.v6.0.0.0 1DVD(最新研发的新一代的强大CAD软件,适用于构建任意房屋和花园)

Ashampoo.3D.CAD.Pro.v5.0.0.1 1DVD

AtLast.SketchUp.v5.0.260带教学视频-ISO 1CD(建筑草图工具,以绘画手法,画出三维图形的CAD建筑绘图软件,简体中文版)  

AXCAD.v2006.build.102.WinALL 1CD(是建筑师,工程师,设计师,几乎所有需要制作和使用DWG文件格式的专业人士的聪明选择)

AWDABPT.Buildings.version.a3.2 1CD

AWDABPT.Underground.Enclosures.version.a3.1 1CD

BCAD v3.91.914 1CD(PC版本,为工程师,设计家和建筑家们设计的,用来进行计算机绘画,3维建模和现实可视化的集成工具)

BCAD For Tablet PC Versions v3.91.877 WinXP 1CD(此版本是Tablet PC版本)

bCAD.Furniture.Designer.Pro.v3.92 1CD

BeamBoy Beam Analysis Tool 2.2 1CD

 

CADRE.Flow.v3.0.2.0002(流体力学分析与管道CAD辅助设计的工具)

CADRE Geo 6 v6.2.0.0004(为CAD有限元分析应用生成各种各样球状体多面体3D模型的软件)

CADRE Pro 6 v6.5.2.0008(三维有限元结构和动态分析软件,能够完成结构载荷的准静力冲击和地震分析)

CADRE.Profiler.v2.4.0.0002(在(建筑业中横梁构件)计算机辅助设计CAD中帮助生成物体横截面并分析其几何特性的工具软件)

CADRE.Rescol.v2.0.1.4(在建筑业中分析地基承载特性及其与所承载建筑物相互作用的工具软件)

Civil.Calculator.v2.0.Win32 1CD(土木工程计算器)

Civil.Calculator.v2.0.Win64 1CD

CUBUS Suite v4.0-ISO 1CD(土木工程应用软件,多语言版)

 

DeepExcavation.RCsolver.v2.2.2.0 1CD(分析钢筋混凝土构件的软件程序)

Deep.Excavation.Steel.Connect.2011 1CD

DesignBuilder.Software.Ltd.DesignBuilder.v4.6.0.015 1CD(针对建筑能耗动态模拟程序(Energplus)开发的综合用户图形界面模拟软件)

ECOTECT v5.60 1CD(环境模拟分析软件,可用于建筑能源效率的研究)

ESurvey.CADD.v13.02.Civil.Tools.v2.10 2CD

FEACrack v3.0.18 1CD(结构三维有限元断裂分析)

Four Dimension Technologies CADPower v19.0 1CD

Four Dimension Technologies GeoTools v19.0 1CD

Frame.Shape.v1.06 1CD(功能强大的工程应用软件,静态线形分析三维框架的装配构架,适用于土木工程师、建筑师、设计师和学生)

GaLa Reinforcement v4.1 1CD

Gstarsoft GstarCAD.v2018.SP1.Win64 1CD

Gstarsoft GstarCAD 2016 Win64 1CD

GStarCAD Pro v2009i build 100429 1CD

Interstudio.DigiCAD.3D.v8.5.8 1CD(建筑摄影测量与制图)

Lira.v9.6 R6.Win32_64-ISO 1CD(建筑结构分析)

Piletest.PileWave.v5.1 1CD(反射波法基桩低应变完整性检测的数值模拟)

PocketStatics 2.01 for Pocket PC 2002 (Windows Mobile 3.0) 1CD(在PocketPC结构分析程序)

PocketStatics 2.01 for Pocket PC 2003 (Windows Mobile 4.0) 1CD

PocketStatics 2.01 for Windows Mobile 5.0 (including Phone Edition) 1CD

PocketStatics 2.01 for Windows Mobile 6.0 (including Phone Edition) 1CD

PS2000 R5.0 1CD(门式钢架轻型房屋设计CAD系统)

RCM ACI-Builder v4.4.5.1 1CD (Design of Reinforced Concrete Members according to ACI318-05)

Scipio B-2D v2003 1CD(一款静态2D框架结构分析工具,主要用于估算平面的弹性形变和内应力。

                     该软件提供GUI图形界面接口并提供多种编辑2维梁结构的工具)

Square ONE Ecotect v5.2B-ISO  1CD(完全的独一无二的建筑物分析软件)

Sydney.LIMSTEEL.v3.51.PRFSA.v4.014.SupaPurlin.v3.2.0.Purlin.v2.8.20.THINWALL.v2.1.47 5CD

ConCrete Test Report System v4.0.0089 1CD(帮助材料检测机构管理其混凝土检测实验室的软件)

Tekton.v2.4.0.4-ISO  1CD(可以用你想要的方式完成绘图,实时监视实体建模的每一个过程,有新的物体、帧和家具库)

UDA ConstructionSuite 9.4.3.8202 1CD

WinPlot v1.39 and WinMat v1.2 1CD

SFCAD2006 1CD(空间网架设计软件,简体中文)

SFCAD 2000 使用说明

 

ETU.Wasser.Plus.v1.006.G (饮用水规划CAD)

 

清华结构TUS2002

同济建筑钢结构设计系统MTS5.61 全模块 1CD

浙江大学网架计算 MST2005 1CD(完全解密版本)

浙江大学网架计算 MST2005说明书

MstCAD2004 1CD(空间网格结构设计软件)

MorGain 结构快速设计 2004.15.R1162 1CD

 

Fides DV产品(基于土质技术和钢设计目的市政工程分析应用系列软件):

FIDES-DV.FIDES.BearingCapacity.v2015.050 1CD

FIDES-DV.FIDES.CantileverWall.v2015.117 1CD

FIDES-DV.FIDES.Flow.v2015.050 1CD

FIDES-DV.FIDES.GroundSlab.v2015.050 1CD

FIDES-DV.FIDES.PILEPro.v2015.050 1CD

FIDES-DV.FIDES.Settlement.2.5D.v2015.050 1CD

FIDES-DV.FIDES.Settlement.v2015.050 1CD

FIDES-DV.FIDES.SlipCircle.v2015.050 1CD

FIDES-DV.WALLS-Dimensioning.v2015.050 1CD

FIDES-DV.WALLS-FEA.v2015.050 1CD

FIDES-DV.WALLS-Retain.v2015.061 1CD

FIDES.DV-Partner.Suite.2017 repack 1DVD

include:

       ——————〉Fides.Drill

       ——————〉Fides.Erddruck

       ——————〉Fides.Fides_Shared

       ——————〉Fides.Flow

       ——————〉Fides.GeoStability

       ——————〉Fides.Gleitkreis

       ——————〉Fides.GroundSlab

       ——————〉Fides.Grundbruch

       ——————〉Fides.PILEpro

       ——————〉Fides.Setzung2.5D

       ——————〉Fides.Setzung

       ——————〉Fides.Steelcon

       ——————〉Fides.Verbau

       ——————〉Fides.WALLS-Bemessung

       ——————〉Fides.WALLS-FEM

       ——————〉Fides.WALLS

       ——————〉Fides.Winkelstuetzmauer

       ——————〉Fides.WinTUBE

 

Fides.ARW.v2006.214.Bilingual 1CD( 基于土质技术和钢设计目的市政工程分析应用的工程工具)

Fides.Bearing Capacity.v2007.302 1CD(承受能力计算)

FIDES.CantileverWall.v2012.291 1CD

Fides.Drill.v2006.031.Bilingual 1CD

FIDES.EarthPressure.v2012.177 1CD(土地压力系数计算)

FIDES.Flow.v2011.187 1CD(基于水文分析技术的工程工具,双语种版本)

Fides.GeoPlanning.v2004.313.Bilingual 1CD

Fides.GeoStability.v2007.339 1CD

FIDES.GeoStability.KEA.v2012.177 1CD

Fides.Ground Slab.v2007.144 1CD

Fides.KEA.v2006.023.Bilingual 1CD

Fides.PILEpro.FEM.v2006.221.Bilingual 1CD

Fides Pilepro v2007.144(基于土质技术和钢设计目的市政工程分析应用的工程工具,双语种版本)

FIDES.Settlement.v2012.177 1CD

Fides.Settlement2.5D.v2007.144 1CD

Fides.Steel.Members.v2004.244.Bilingual 1CD(钢筋焊接和铆接的计算和设计工具)

FIDES.STeelCON.v2012.096 1CD(钢筋焊接和铆接的计算和设计工具)

FIDES.SlipCircle.v2012.177 1CD

Fides.TWIST.v2006.031.Bilingual 1CD

Fides.WALLS.v2006.352.Bilingual 1CD(根据EAB, EAU, DIN 1045,DIN 4124标准的进行墙体设计。双语种版本)

FIDES.WALLS.Dimensioning.v2012.178 1CD

FIDES.WALLS.FEA.V2011.131 1CD

Fides.Walls.FEM.v2006.352.Bilingual 1CD

FIDES.WALLS.Retain.v2012.177 1CD

Fides.WinIGEL.3D.v2005.329.Bilingual 1CD

Fides.WinTube.v2007.339 1CD

Fides.July.2005.BiLingual-ISO 1CD

软件包括:

       ——————〉FIDES WALLS

       ——————〉FIDES WALLS Dimensioning

       ——————〉FIDES WALLS FEA

       ——————〉FIDES EarthPressure

       ——————〉FIDES Flow

       ——————〉FIDES SlipCircle

       ——————〉FIDES BearingCapacity

       ——————〉FIDES KEA

       ——————〉FIDES Settlement

       ——————〉FIDES Settlement2.5D

       ——————〉FIDES PILEpro FEM

       ——————〉FIDES WinIGEL Plus

       ——————〉FIDES WinTUBE

       ——————〉FIDES STeelCON

       ——————〉FIDES TWIST

       ——————〉FIDES Angular Retainment Wall

       ——————〉FIDES GeoPlanning

       ——————〉FIDES SteelMEMB

 

DLUBAL产品:

Dlubal RFEM 5.13.01.Multilingual Win64 1DVD

DLUBAL RSTAB 8.13.01.140108.Multilingual Win64 1DVD

Dlubal RFEM 5.06.1103 & RSTAB 8.06.1103.Win64 1DVD

Dlubal RSTAB 8.06.1103 Win64 1DVD

Dlubal.Rstab8.Rfem5.v0119.MultiLanguage-ISO 2DVD

DLUBAL.Composite.Beam.v8.13.01.Multilingual.Win64 1CD

Dlubal.Composite.Beam.v8.01.0022.Multilingual.Win32_64 2CD

DLUBAL.Craneway.v8.13.01.Multilingual.Win64 1CD

Dlubal.Craneway.v8.01.0022.Multilingual.Win32_64 2CD

DLUBAL.Plate.Buckling.v8.13.01.Multilingual.Win64 1CD

Dlubal.Plate.Buckling.v8.01.0022.Multilingual Win32_64 2CD

Dlubal.RSTAB.v8.01.0022.Multilingual Win32_64  2CD(3D结构分析与计算软件包,支持平面及空间结构的应力,支撑力,变形计算等等)

DLUBAL.RX-Timber.v2.13.01.Multilingual.Win64 1CD

Dlubal.RX-Timber.v2.06.1103.Multilingual Win32_64 2CD

Dlubal.RFEM.5.01.0022.Multilingual.Win32_64 2CD(用以分析由平板、叶片、墙壁等构成的二维和三维结构

                            中变形、内应力、支撑力和接触面压强的FEM程序)

Dlubal SHAPE-MASSIVE 6.66.01 Win32 1CD

Dlubal Shape-Massive 6.56.3039 Win32 1CD

DLUBAL.Shape.Thin.v8.13.01.Multilingual.Win64 1CD

Dlubal.Shape.Thin.v7.56.3039 1CD

 

SOFTTECH产品:

Struds.2009.v4.0.0 1CD(结构化CAD分析和设计软件,它提供了集成的建模,分析,设计和作图环境)

 

Sigma Design产品:

ARRIS.v9.2 1CD(能够帮助建筑设计师和专业人员提高工作效率和节省工作时间的软件,全新的专业软件)

BuildersCAD.v9.1 1CD

 

Imbsen产品:

Imbsen CAPP v1.0.5 1CD(全功能的2-D报告推覆方案具有独特和前所未有的分析和建模能力)

Imbsen Winabud v4.0.2 1CD(桥台设计)

Imbsen WinBDS v5.0.3 1CD(分析或设计正交桥框架,适用于大多数的桥梁混凝土今天正在设计)

Imbsen WinCSD v2.0.0 1CD(混凝土截面设计)

Imbsen WinFAD v5.0.0 1CD(基脚分析与设计)

Imbsen WinNFAD v2.0.0 1CD(非线性分析与设计)

Imbsen WinRECOL v5.0.2 1CD(钢筋混凝土柱分析和设计软件)

Imbsen.WinSEISAB.v5.0.7 1CD(桥的地震分析软件)

Imbsen XTRACT v3.0.8 1CD(计算任意截面的应力应变)

 

For IPA产品:

CASA Multi-Beam 2D v1.1 for iOS 1CD

CASA Plane Frame 2D v1.1 (Engineering Apps for iOS) 1CD

CASA Plane Truss 2D v1.3 for iOS 1CD

CASA Space Frame 3D v1.3 (Engineering Software for iOS) 1CD

 

DICAD Systeme GmbH产品:

DICAD.Strakon.Premium.v2018.MultiLanguage.Win32_64 2DVD

DICAD.Strakon.Premium.v2016.SP1.MultiLanguage-ISO 1DVD(动态互动式图形CAD系统)

DICAD Strakon S v2010 SP1 MultiLanguage-ISO 1CD(CAD制图)

 

Graphisoft产品:

Graphisoft ArchiCAD v16.01 WinALL & MacOSX-ISO 1DVD

Graphisoft.ArchiCAD.v19.3003.International.Cadimage.Goodies.MEP 1DVD(国际版,世界顶级建筑设计软件)

Graphisoft.Archicad.14.International.Win32-ISO 1DVD

Graphisoft ArchiCAD 16 Build 3006 International Win64 1DVD

Cadimage.Tools.3D.Profiler.Tools.v11.2.For.Archicad.11

Cadimage.Tools.Accessory.Tools.v11.3.For.Archicad.11

Cadimage.Tools.Door.And.Window.Builder.v11.3.For.Archicad.11

Cadimage.Tools.Key.Notes.v11.2.For.Archicad.11

Cadimage.Tools.Revision.Manager.v11.3.For.Archicad.11

 

Graphisoft.ArchiCAD v10 简体中文正式版+中文使用教程-ISO 1CD

Graphisoft.ArchiCAD.v10.Hotfix.1188.Build.2594.Update.Only 1CD

GraphiSoft.ArchiGlazing.v1.7.for.ArchiCAD.10.Bilingual-ISO 1CD

 

Graphisoft.ArchiCAD v9.0 国际版-ISO 1CD

GraphiSoft ArchiCAD v9.0 Student.Edition.With.Training.Guide.WINMAC-ISO 1CD(MAC版本)

GraphiSoft ArchiGlazing for ArchiCAD v9.0 1CD(能够让建筑师们在自己的设计当中包括和添加一些窗户样式的设计)

GraphiSoft DuctWork for ArchiCAD v9.0 1CD(ARCHICAD V9.0的插件,使用户能快速高效率地进行HVAC建模)

Graphisoft.MEP.Modeler.v12.Build.2327 1CD

Cadimage.Tools.v9r2.and.Library.Plugins.For.ArchiCAD.9 1CD(ARCHICAD 9插件集合)

Cigraph ArchiStair v1.12 for ArchiCAD v10 Multilanguage 1CD

GraphiSoft CYMAP CADLink v9.2 R2 1CD(建筑设计仿真软件)

Cubus cedrus v4.0 1CD(框架结构分析)

ClimaBIM 2.3.2.65 for ARCHICAD 20 1CD

Cigraph.Plugins.For.Archicad.v10.Multilingual.WinALL 1CD

Cigraph Factory 2005 for ArchiCAD v9.0-ISO 1CD  

AV-Works v2.1 for ArchiCAD 1CD

FrameWright.Pro.v2.9.0.0.For.ArchiCAD.v14 1CD

Graphisoft.EcoDesigner.v14.0.0.3004.For.ArchiCAD.14.Win32 1CD

Graphisoft Archiglazing v1.7 for ArchiCAD 8.1(一款ArchiCAD 8的附件。能够让建筑师们在自己的

                          设计当中包括和添加一些窗户样式的设计)

GraphiSoft DuctWork v1.2 for ArchiCAD v8.1 Hybrid-ISO 1CD(一款ArchiCAD 8的附件。能够让建筑师们在

                                 自己的设计当中包括和添加一些窗户样式的设计)

MaxonForm.v9.103.For.ArchiCAD-iSO 1CD(虚拟建筑软件,MaxonFormTM与ArchiCAD之间的无缝整合,顺应了当今

                       建筑界最显著的潮流——有机体建筑设计)

Meteonorm.v7.1.3.Multilingual 1CD(将实测的气象资料转换格式)

Bizprac ToolBox Pro v5.08 1CD(一款最好的建筑工业软件。ToolBox软件能够为澳大利亚建筑工业提供强大的和

                 用户友好的商业解决方案,节省你的时间和金钱)

EliteCAD.Architektur.v10.1.incl.SP1.Multilingual 1CD

S.T.A.DATA.3Muri.Pro.v11.0.0.10 1CD

S.T.A.DATA.3Muri.Pro.v10.0.2.1 1CD(用于分析通过非线性(弹塑性)建于砖石和混合材料的结构和静态分析软件)

3rd.PlanIt.v9.04.018.2770 1CD (一款先进的轨道设计辅助工具)

Analdeta.Tessera.Pro.v3.2.2.Build.2015.10.05.Win64 1CD

Anadelta.Tessera.2013.v3.0.9.build.2013.04.29 1CD(多功能的道路设计和施工方案软件)

Aplus v11.113 1CD

Bobs.Track.Builder.Pro.v0.8.0.3 1CD

GeoTools v12.18 1CD

GSTool.v3.1.276.Bilingual 1CD(为实现BPM而设计的建筑学方面的工具)

Highway Capacity Software - HCS 2000 v4.0 1CD

Iqsoft.TunnelCAD.v2012.8.18.16 1CD(隧道体积计算和几何研究的完整解决方案)

LPILE Plus v6.0.10 1CD(单桩的2D非线形解析程序)

Novapoint v17.20-ISO 1DVD(公路、隧道设计软件)

Pcv 5.0 for Win98 单机 1CD

Piste v5.05 1CD(道路设计软件)

Pixelplan.Flow.Architect.Studio.3D.v1.8.7 1CD(建筑三维模拟设计软件)

Sandia.Software.Cadrail.v8.02 1CD(道路设计软件,通过本软件你可以自由的画出铁路、建筑等)

Xtrkcad v3.14 1CD(铁路工程设计的CAD软件)

WinTrack.3D.v8.0.4 1CD(建立3D道路交通模型的工具软件)

 

T20天正暖通4.0 1CD

天正建筑 7.5 SP1-ISO 1CD

天正电气 7.5

天正暖通 7.5

天正给排水 7.5

天正插件 7.5

 

博超电气设计EES2000 1CD

 

理正给排水8.02(单机版)

基础及桩基CAD 2003 SATWE接口补丁

理正给排水、设备6.5

理正工具箱4.51

理正基础CAD 2.3

理正桩基CAD 2.7

理正深基坑5.3 1CD

理正基坑5.04

理正岩土计算5.6(所有模块都好用)

理正岩土4.0说明书

土方工程量计算 HTCAD v4.2 1CD

理正勘察8.1 1CD

理正工程地质勘察GICAD6.81完全破解单机版 1CD

理正工程地质勘察GICAD6.1完全破解网络版 1CD

工程测量数据处理系统 5.0 1CD

 

结构软件Tssd 2008 For AutoCAD 2008 网络版 1CD

结构软件Tssd 2006 1CD

结构软件Tssd 3.0 for AutoCAD2005 单机版

结构软件Tssd 3.0 for AutoCAD2005 网络版 1CD

结构软件TSSD 2.8 for AutoCAD2005 单机版 1CD

结构软件TSSD 2.8 for AutoCAD2004 网络版 1CD

结构软件TSSD 2.8 for AutoCAD2002 网络版 1CD

结构软件Tssd 钢结构 1.5 单机版 1CD

TSSD水工结构设计1.0 for R14 & AutoCAD2002 1CD

结构软件Tssd 3.0 说明书

结构软件TSSD 2.7中文使用说明书

神机妙算20.03 破解版 1CD

 

大恒CAD8.0 for WinALL-ISO 1CD

北京英科宇电气工程师2004 1CD

中南院电力版隧洞SDCAD 4.0 1CD

 

鹏业软件:

    公路概预算 1CD

    市政维修 1CD

    预算通四川市政 1CD

广联达:

    广联达—安装工程概预算软件 GAZ99

    广联达—工程概预算软件 GBG99

    广联达—钢筋统计软件GJ2000

    广联达—工程造价系列软件GBG v2.105

    广联达钢筋最新版GGJ9.0 Build606

    广联达钢筋抽样GGJ10.build714

    广联达图形算量GCL2008 v9.0.1.602

广厦建筑结构CAD10.0 1CD

广厦CAD 10.0说明书(中文)

建筑电气常用数据手册软件版 1CD

同望造价7.31和报表工具 1CD  

交通部Bridge3DUP 1CD

桥梁博士3.03 1CD

桥梁通6.2(软件) 1CD

桥梁大师forCADD2002 1CD

线路大师forCADD2002 1CD

海地20040604 1CD

超级涵洞shcd2003xp 1CD

涵洞设计PVC 5.0 for Win98 破解版 1CD

桥梁三维造型系统 Bridge3D 2.0 1CD

 

Survey.CAD.System.pfCAD.agriCAD.v4.0 1CD

Survey.CAD.System.pfCAD.Catasto.v22.0.154 1CD

Survey.CAD.System.pfCAD.disCAV.Green.v15.00.91 1CD

Survey.CAD.System.pfCAD.disCAV.v15.0.91 1CD

Survey.CAD.System.pfCAD.STRADE.v10.0.34 1CD

Survey.CAD.System.pfCAD.Discad.v13.0.72 1CD

pfCAD Catasto v20.00 1CD

PfCAD.COGO.v16.0-ISO 1CD

PFCAD 2004 1CD

PFCAD v2.0 1CD(桩基础设计软件,对桩作竖向抗压承载力和抗拔承载力的验算,并对桩身作配筋设计, 以保证具有足够的结构强度)

桩基CAD2.7

浩辰Icad2003i 1CD

围岩稳定分析软件BMP2000 1CD

 

Bid Bridge v2000 for AutoCAD 1CD

Bid Road v2000 for AutoCAD R14 1CD

ESDPS工程测量数据处理系统5.0 1CD

道路与立交EICAD1.0单机版  1CD

鸿业给排水8.0A 1CD

鸿业市政道路5.0 R15 1CD

纬地HintCAD.v5.83 1CD

纬地三维道路CAD系统5.7 1CD

鸿业市政道路4.0专业版 1CD

华宁岩土勘察软件HNCAD16 1CD

华岩试验检测数据处理系统软件3.04 1CD

 

Garden.Organizer.Deluxe.v2.4.WinALL 1CD(园艺管理软件)

Eagle Point 2011 Q1 11.1.0-ISO 1CD(园林设计软件)

图圣园林设计系统TSCAD4.01加强版 1CD

规划园林设计软件HCAD v4.5 1CD

园林绿化CAD LSI2000 1CD

LZX规划设计系统 v4.020 1CD

飞时达规划总图设计软件GPCAD v9.0 1CD

幕墙专业版5W2003 v5.0cjm 1CD

豪沃克幕墙CAD工具包 1CD

 

建模大师AutoModel v2.0  1CD

鲁班钢筋2006 v9.2 1CD

鲁班算量(土建定额版)最新版本v2007 TD 10.0.1 1CD

鲁班算量(土建清单版)最新版本v2007 TQ 10.0.1 1CD

鲁班算量 v6.6 1CD

CASS v5.1 1CD(地形、地籍、工程应用各方面都有许多改进,内带教学和用户手册)

MST模型转成SFCAD软件  1CD

鸿业给排水6.0(R14) 1CD

武汉恒通挡墙3.0 1CD

博奥土建清单5.0破解版 1CD

混凝土结构非线性分析软件IDARC6及源代码 1CD

软件列表共8页,第7 

 

-+电力电气、电子电路+-

~~~~~~~~~~~~~~~~~~~

Atrenta产品:

Atrenta GenSys.v5.1.1.1.Linux64 1CD

Atrenta SpyGlass vL-2016.06 SP2 Linux64 1DVD(对RTL以及Netlist进行语法检查的工具)

Atrenta SpyGlass vL-2016.06 Linux64 1DVD

Atrenta.SpyGlass.v5.4.1.SP1.Linux64 1CD

Atrenta SpyGlass.v5.1.1.1.Linux64 1DVD

Atrenta SpyGlass v4.40 Linux 1CD

Atrenta SpyGlass v4.5.1 LinuxAMD64 1CD

 

InnovEDA产品:

InnovEDA.E-Sim.v4.1 1CD

InnovEDA.FabFactory.7.0 1CD

InnovEDA PowerPCB Suite v4.0 1CD

InnovEDA.Visual.HDL.v6.7.8.for.Veril 1CD

InnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CD

InnovEDA.Visual.IP.v4.4.1 1CD

 

DownStream.Technologies产品:

DownStream Products 2015.11 1CD(业界领先的PCB后处理解决方案)

Included:

        - CAM350/DFMStream v12.1

        - BluePrint-PCB v5.1

 

FABmaster v8f2 Gold 1CD(冶具制作软件)

Software Companions GerbView 7.72 Win32_64 2CD

 

CAMtastic产品:

Camtastic2000 v3.03 1CD(PCB设计过程中的CAM技术)

 

Baas Electronics产品:

Layo1.PCB.Design.Pro.v10.0 1CD(设计和制造电子测量和控制设备等机械制图专业软件)

 

Gerber产品:

ACE 3000 Professional v6.20 1CD

FAB 3000 Professional v5.1.1.4 1CD

 

MiG GmbH & Co.产品:

WASP-NET.v5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件)

 

GraphiCode产品:

GC-powerstation v17.2.6 Win32 1CD

GC-PowerStation 16.2.8 Win64 1CD

GC-PowerStation.v9.1.2 1CD(中、英文版,即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件)

 

Etap产品:

Etap.PowerStation.v16.1.1.Win7_10 64bit-ISO 1DVD(电力系统仿真分析软件)

Operation.Technology.ETAP.v16.0.0.31216-ISO 1DVD

Etap.PowerStation.v14.1.0 Win7_10-ISO 1DVD

Etap.PowerStation.v12.6.0-ISO 1DVD

Etap.PowerStation.v11.0.0-ISO 1DVD

Etap.PowerStation.v7.5-ISO 1DVD

 

MemResearch产品:

Memresearch EM3DS v2008 1CD(意大利产出的电磁三维软件)

 

SimLab Software产品:

SimLab.Suite.2008 1CD(专门提供电缆、电缆束和电路板信号完整性(SI)、电源完整性(PI)和电磁干扰性(EMI)相关分析软件)

 

Sisoft产品:

Sisoft Quantum-SI 2008.10 SP4 1CD(信号完整性仿真分析)

 

Power Analytics产品:

Paladin DesignBased v5.1-ISO 1DVD(电力系统仿真软件,对电气系统进行设计、计算、分析、模拟及控制的综合性软件包)

 

PowerSIM产品:

PSIM Professional v9.1.1.400 & PsimBook Win32 1CD(仿真PowerPC指令集的模拟器)

PSIM Professional v9.0.3.400 Win64 1CD

PSIM Plus v5.0 网络版 1CD

 

Agilent Technologies Inc.产品:

Agilent.89600.Vector.Signal.Analyzer(VSA).v12.02-ISO 1CD(频谱分析)

Keysight 89600 VSA-WLA 22.21 Software Win64 1DVD

Keysight.Electromagnetic.Professional(EMPro).2017.Update.0.4.Win64 1DVD

Keysight EMPro 2017 Win64 1DVD

Agilent.Electromagnetic.Professional(EMPro).2015.01.Win32_64 1DVD(3D电磁场设计平台)

Agilent.Electromagnetic Professional(EMPro).2015.01 Linux32_64-ISO 1DVD

Agilent RF Design Environment(RFDE) 2008 linux 1CD

Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06 1CD(电子仿真平台)

Keysight Advanced Design System (ADS) 2017 CHN Win64 1DVD

Keysight Advanced Design System (ADS) 2017 ENG Win64 1DVD

Keysight Advanced Design System (ADS) 2017 Update 1.0 Win64 1DVD

Agilent.Advanced.Design.System(ADS).2016.01.Windows 1DVD(电子设计自动化软件)

Agilent.Advanced.Design.System(ADS).2016.01.Linux64 1DVD

Agilent.Advanced.Design.System(ADS).2016.01.CHS.Linux64 1DVD

Agilent.Advanced.Design.System(ADS).2015.01.Win64 1DVD

Agilent.Advanced.Design.System(ADS).v2014.01.Win32 & Win64-ISO 1DVD

Agilent.Advanced.Design.System(ADS).v2015.01.Linux 1DVD

Agilent.Advanced.Design.System(ADS).v2014.01.Linux32 & Linux64-ISO 1DVD 

Agilent ADS 2005A 快速入门中文教程

Agilent ADS 中文视频教程(台湾)

Agilent ADS详尽教程

Agilent ADS中文教程

 

Agilent EMDS 2006B 1CD(电磁设计系统)

Keysight.FlexDSA.A.05.63.22.Win32_64 2CD

Agilent.GeneSpring.GX.v11.5.Win32_64 2CD

Agilent.GeneSpring.GX.v11.5.Linux32_64 2CD

Agilent.GeneSpring.GX.v11.5.MacOSX-ISO 1CD

Agilient Genesys 2018.0 Win64 1DVD

Agilent.Genesys.v2015.08.Win64 2DVD(包括中英文版)

Agilent Genesys 2014.04 Win32 1DVD

Agilent Genesys 2012.01 Win32_64 1DVD(射频EDA软件)

Agilent.Genesys.v2010.05.SP1.Update.Only 1CD

Agilent.Genomic.Workbench.v5.0.14 1CD

Agilent.Genomic.Workbench.x64.v5.0.14 1CD

Agilent.Genomic.Workbench.Linux.v5.0.14 1CD

Agilent.Genomic.Workbench.MacOSX.v5.0.14 1CD

Agilent.GoldenGate.RFIC.Simulation.2015.01.v4.9.0.Win64 1CD(RTL验证标准)

Agilent.GoldenGate.RFIC.Simulation.2015.01.v4.9.0.Linux 1CD

Agilent GoldenGate RFIC Simulation Software 2013.10 Linux64 1DVD

Agilent GoldenGate v4.4.9 Linux 1DVD

Keysight Suite 2017 Win32_64 4CD

      include:

          Agilent Model Builder Program(MBP)2017 Win32_64 2CD

           Agilent Model Quality Assurance(MQA)2017 Win32_64 2CD

Keysight Model Builder Program(MBP)2017 Update 2.0 Win64 1DVD

Keysight Model Quality Assurance(MQA)2017 Update 2.0 Win64 1DVD

Agilent Model Builder Program(MBP)2016.01 CHS Win64 1CD

Agilent Model Builder Program(MBP)2016.01 CHT Win64 1CD

Agilent Model Builder Program(MBP)2016.01 Win64 1CD(SPICE 模型提取工具,侧重于硅器件的器件建模软件)

Agilent Model Builder Program(MBP)2014.04 Win32 1CD

Agilent Model Quality Assurance(MQA)2016.01 CHS Win64 1CD

Agilent Model Quality Assurance(MQA)2016.01 Win64 1CD(SPICE 模型验证工具)

Agilent Model Quality Assurance(MQA)2014.04 Win32 1CD

Agilent Physical Layer Test System (PLTS) 2014 1CD

Agilent WaferPro Express 2016.04 HF1 Win64 1DVD

Keysight WaferPro Express 2016.04.HF2 Win64 1DVD

Agilent WaferPro Express 2015.01 CHS Win64 1CD

Agilent WaferPro Express 2015.01 ENG Win64 1CD

Agilent WaferPro Express 2014.04 Win32 1CD

Keysight SystemVue 2018 CHS Win64 1DVD(最新中文版,便捷专业的信号处理平台软件)

Keysight SystemVue 2018 ENG Win64 1DVD(Last English version)

Agilent Technologies SystemVue 2015.01 Win32_64 2DVD

Keysight SystemVue 2016.08 1DVD

Keysight IC-CAP 2018 Win64 1DVD

Agilent IC-CAP 2016.01 Win64 1CD

Agilent.IC-Cap.v2014.04.Win32 1DVD

Agilent.IC-Cap.v2009.Win32 & Win64-ISO 1CD(集成电路表征和分析程序)

Agilent.IC-Cap.v2009.Linux32-ISO 1CD

Ommic ED02AH Libary v2.6 for ADS 2002 1CD

SIMetrix Simplis v8.00g Win64 1CD

Simetrix Simplis v5.50 1CD(完全解密)

Simetrix Simplis manuals (用户手册)

Agilent.Testexec.SL.v5 1CD

 

Agilent.VEE.Pro.v9.0 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)

Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)

Agilent Heatwave 2014.Linux 1CD

Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)

Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析)

 

TSMC 0.13 工艺库

TSMC 0.18 工艺库

TSMC 0.25 工艺库

 

ANSOFT产品:

Ansys Electromagnetics Suite v16.0 Win64 1DVD + 3CD

    inclueded:

             - Ansys Electronics Desktop 2015.0.0 (HFSS, HFSS 3D Layout, HFSS-IE, 2D Extractor, Q3D Extractor)

             - Ansys Electromagnetics 16.0 Modeler Files for CATIAV5

             - Ansys Electromagnetics ECAD Translators 2015.0.0

             - Ansys Electromagnetics PExprt 2015.0.0

             - Ansys Maxwell 2015.0.0

             - Ansys Simplorer 2015.0.0

             - Ansys SIwave 2015.0.0

 

Ansoft HFSS v15.0 win32_64 Full-ISO 2DVD(三维结构电磁场仿真软件)

Ansoft HFSS v15.03 Updat Only Win64 1CD

Ansoft HFSS v14.0 Linux 1DVD

Ansoft HFSS Antenna Design Kit v2.15 for HFSS v14.0-15.0 1CD

 

Ansys Maxwell 3D v16.03 Win32_64-ISO 2DVD(电磁场分析软件)

Ansys Maxwell 3D v16.03 Linux 1DVD

 

Ansoft Designer and Nexxim v8.0 Full-ISO 1DVD(电子电磁仿真)

Ansoft Designer 7.0.1 Update Only 1CD

Ansoft Designer v9.0 HFSS 1CD

Ansoft Spiral Design Kit for Hfss v10.0 1CD

 

Ansoft ECAD v6.0 1CD

Ansoft Ephysics v3.1 WinALL-ISO 1CD(Maxwell的一个插件,电子电磁设计)

Ansoft Ensemble v8.0 1CD

Ansoft Links 6.0-ISO  1CD

Ansoft Links v6.01 Update Only 1CD

Ansoft Links v4.0 Win64-ISO 1CD

Ansoft OpTimetrics v 2.5-ISO 1CD

Ansoft PExprt v7.0.20-ISO 1CD(全图形界面的电磁设备设计软件)

Ansys Q3DExtractor v12.0 Win32_64-ISO 2DVD

Ansoft Rmxprt v12.1-ISO 1CD(电力电子电磁器件设计、分析和优化工具)

Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)

Ansoft.Serenade.Densign.Environment.v8.71 1CD(射频微波、电路设计软件)

Ansoft.SIWave.v7.0 Win32_64-ISO 2DVD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)

Ansoft Siwave v7.02 Update Only Win64 1CD

Ansoft Simplorer v11.0-ISO 1CD(强大的多领域复杂系统仿真软件包)

Ansys.Simplorer.AK30.Library.v8.0 1CD

Ansoft Simplorer v7.0 day1 & day2

 

Ansoft Spicelink v5.0-ISO 1CD(通用信号完整性电磁场仿真工具)

Ansoft.Turbo.Package.Analyzer(TPA).v8.0-ISO 1CD

Ansoft Max&Eph traning-ISO 1CD(教程)

Ansoft HFSS v10 用户手册(英文)

Ansoft Hfss 中文培训教程

Ansoft Hfss v9.0 培训影像

Ansoft Hfss v9.2 完全教程

Ansoft HFSS v9使用技巧

Ansoft HFSSv9边界条件和激励设置培训

Ansoft Hfss 9.2 用户指南

Ansoft Hfss 8.0 中文培训教程

Ansoft Maxwell 2D 3D 中文使用说明

Ansoft Maxwell 官方手册(英文)

Ansoft Rmxprt application 1CD

 

Apache Design Solutions产品:

Apache Design Solutions RedHawk v13.1.2 Linux64 1CD(IC功率分析解決方案)

 

FutureFacilities产品:

FutureFacilities.6SigmaDC.R9.0 Win32_64 2CD(致力于数据中心及电子散热相关的整体解决方案,

                         包含6SigmaRoom,6SigmaRoomLite,6SigmaRack,6SigmaET等十多个模块)

 

CDAJ产品:

Speed v2011.0-ISO 1CD(电机设计软件)

SPEED 2000 user Manual

 

Magneforce v4.0 Windows 1CD(专业电机设计与电磁分析软件)

 

COBHAM产品:

Opera v12.003 1CD(完整的电磁场3D分析软件)

 

SAMTECH产品:

Samcef For Wind Turbines v1.1-ISO 1DVD(风力涡轮发电机设计的专业工程软件)

 

JMAG产品:

JMAG-Designer v17.1 Windows & Linux 2DVD

JMAG Designer 16.0 Win64 & Linux64 2DVD

JMAG-Designer 14.0.01t Win64 & Linux64 2DVD

Jmag Designer 12.0 Win64-ISO 1DVD

JMAG-Designer v11.0 Win32_64-ISO 2DVD

JMAG-Designer v11.0 SLS&Sample Data-ISO 1DVD

JMAG Designer v10.3.03k Win32-ISO 1DVD(马达电磁分析软件)

JMAG Designer v10.3.03k Win64-ISO 1DVD

JMAG Studio v10.02201a Win32-ISO 1DVD

 

Infolytica Corporation产品:

Infolytica Products 25CD

included:

     Actuator Plug-In for Simulink 2.2.2 Win32_64 2CD

     ElecNet 7.5 Win32_64 2CD

     MagNet 7.5 Win32_64 2CD

     MagNet For SolidWorks 1.0.0 Win64 1CD

     MagNet Plug-In for PSIM 1.3.2 Win32_64 2CD

     MagNet Plug-In for Simulink 2.2.2 Win32_64 2CD

     MotorSolve 4.11 1CD

     MotorSolve 4.11 zh-CHS 1CD

     MotorSolve 5.0 1CD

     MotorSolve Plug-In for Simulink 2.2.0 Win32_64 2CD

     OptiNet 7.5 1CD

     Speed PC-BDC Importer 2.5 1CD

     System Model Generator 2.3 1CD

     ThermNet 7.5 Win32_64 2CD

     Three Phase Machine Plug-In for Simulink 2.2.2 Win32_64 2CD

     Trajectory Evaluator 3.0 1CD

     

Infolytica MagNet v7.4.1.4 Win32 1CD

InfoLytica.MagNet.v7.1.1 1CD(高精度磁场解析模拟软件)

 

Silvaco产品:

Silvaco AMS v2010.00 Win32 1CD

Silvaco AMS 2008.09 Linux 1CD

Silvaco AMS 2008.09 Linux64 1CD

Silvaco AMS 2008.09 Solaris 1CD

Silvaco AMS 2008.09 Manual 1CD

Silvaco Iccad 2008.09 1CD

Silvaco Iccad 2008.09 Linux 1CD

Silvaco Iccad 2008.09 Linux64 1CD

Silvaco Iccad 2008.09 Solaris 1CD

Silvaco Iccad 2008.09 Manual 1CD

Silvaco Logic 2008.09 1CD

Silvaco Logic 2008.09 Linux 1CD

Silvaco Logic 2008.09 Linux64 1CD

Silvaco Logic 2008.09 Solaris 1CD

Silvaco Logic 2008.09 Manual 1CD

Silvaco TCAD 2014.00 Win32 1DVD

Silvaco TCAD 2012.00 Win32_64 1DVD

Silvaco TCAD 2010.00 Linux 1CD

Silvaco TCAD 2012 Linux64 1DVD

Silvaco TCAD 2008.09 Solaris 1CD

Silvaco TCAD 2008.09 Manual 1CD

Silvaco Catalyst 2008.09 Linux 1CD

Silvaco Catalyst 2008.09 Linux64 1CD

Silvaco Catalyst 2008.09 Solaris 1CD

Silvaco Char 2008.09 Linux 1CD

Silvaco Char 2008.09 Linux64 1CD

Silvaco Char 2008.09 Solaris 1CD

Silvaco Firebird 2008.09 Linux 1CD

Silvaco Firebird 2008.09 Linux64 1CD

Silvaco Firebird 2008.09 Solaris 1CD

Silvaco Mode 2008.09 Linux 1CD

Silvaco Mode 2008.09 Linux64 1CD

Silvaco Mode 2008.09 Solaris 1CD

Silvaco Parasitic 2008.09 Linux 1CD

Silvaco Parasitic 2008.09 Linux64 1CD

Silvaco Parasitic 2008.09 Solaris 1CD

Silvaco UT 2007.04 Linux 1CD

Silvaco UT 2007.04 Linux64 1CD

Silvaco UT 2007.04 Solaris 1CD

Silvaco VWF 2007.04 Linux 1CD

Silvaco VWF 2007.04 Linux64 1CD

Silvaco VWF 2007.04 Solaris 1CD

Parallel SmartSpice 1.9.3.E 1CD

 

AVANT!/SYNOPSYS产品:

Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)

Actel.Designer.v8.4.2.6.SP2-ISO 1CD

Actel.Designer.v8.3.SP1.Linux-ISO 1CD

Actel.Designer.v8.3.SP1.Solaris-ISO 1CD

Actel.Flashpro.v8.4-ISO 1CD(编程器)

Actel.Libero.IDE.Platinum.SP1.v8.6.2.10 1CD

Actel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA产品设计)

Actel.Libero.IDE.v8.4.Linux-ISO 1DVD

Actel.CoreConsole.v1.4 1CD(IP开发平台 (IDP),有助于简化以FPGA为基础系统级应用的构建)

Spec-TRACER.2013.12.Windows 1CD(ALDEC推出需求跟踪管理工具Spec-TRACER,能对FPGA 项目的需求进行跟踪,还能分析

                                项目的每个需求是否都得到了充分地验证,大大提高了交付项目的稳定性及可靠)

Synopsys Astro vZ-2007.03 SP10 Linux 1CD

Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD

Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD

Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)

Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD

Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD

Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD

Synopsys.Aurora.vX-2006.09.Linux 1CD

Synopsys.Cadabra.vB-2008.09 Linux 1CD

Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD

Synopsys.Cadabra.vB-2008.09 Suse32 1CD

Synopsys Certify vG-2012.09 Win32 1CD

Synopsys Certify vE-2011.09 Linux 1DVD

Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD

Synopsys.Common.Licensing(Scl) v1.2 for WinNT 1CD

Synopsys Component vC-2009.06 SP1 Win32 1DVD

Synopsys Component vC-2009.06 SP1 Linux 1DVD

Synopsys Coretools vK-2015.06 SP5 Linux32_64 1DVD

Synopsys CoreTools vJ-2014.12 SP1 Linux32_64 2CD

Synopsys Core Synthesis Tools vJ-2014.09 SP3 Linux64 1DVD

Synopsys.CoreSynthesis.v2002.05 Linux 1CD

Synopsys.Cosmoscope.vJ-2015.03.Windows 1CD

Synopsys Cosmoscope vJ-2015.03 Linux32_64 2CD

Synopsys Cosmoscope vB-2008.09 SP1 Linux64 1CD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Linux 1DVD

Synopsys CoCentric System Studio(CSS) vE-2010.12 Msvc50 1CD

Synopsys CSS vG-2012.03 SP2 Linux 1DVD

Synopsys CSS vC-2009.03 SP1 SparcOS5 1CD

Synopsys CSS vC-2009.03 SP1 GccsparcOS5 1CD

Synopsys.Customdesigner vC-2009.06 Linux 1CD

Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD

Synopsys Customexp vG-2012.06 SP1 Linux32_64 2CD

Synopsys Customexp vG-2012.06 SP1 Win32 1CD

Synopsys.CustomExplorer.vK-2015.06.Windows 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.WinALL 1CD

Synopsys.CustomExplorer.vK-2015.06.Linux64 1CD

Synopsys.CustomExplorer.vH-2013.03.SP2.Linux32_64 2CD

Synopsys.CustomExplorer.vK-2015.06.SI32 1CD

Synopsys Customsim vK-2015.06 Linux 1DVD

Synopsys CustomSim 2014.09 SP4 Linux64 1DVD

Symopsys CustomSim (XA) 2013 Linux32_64 2CD(支持多核仿真)

Synopsys Custom Waveview vL-2016.06-SP1 Linux64 1DVD

Synopsys DC 2000.05 WinALL 1CD

Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64 1CD

Synopsys (Design Complier) Syn vH-2013.03 Linux32_64 2CD

Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD

Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD

Synopsys DWC DDR2 SMIC 130G33 Linux 1CD

Synopsys Design Compiler 2008.09 Linux 1CD

Synopsys.Designware.IP.v2001.08 Linux 1CD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux 1DVD

Snopysys DesignWare System-Level Library vD-2009.12-SP2 Linux64 1DVD

Synopsys DFT Compiler 1 v2006.06 Linux 1CD

Synopsys DSP vC-2009.03 SP1 Win32 1CD

Synopsys DSP vC-2009.03 SP1 Linux 1CD

Synopsys Embedit Integrator vJ-2014.12 SP1 Linux32_64 2DVD

Synopsys.ESP.vG-2012.06.Linux32_64 3CD

Synopsys.ESP.vC-2009.06 Rs6000 1CD

Synopsys ESP vC-2009.06 Sparc64 1CD

Synopsys ESP vC-2009.06 SparcOS5 1CD

Synopsys ESP vC-2009.06 SUS32 1CD

Synopsys ESP vC-2009.06 SUS64 1CD

Synopsys ESP vC-2009.06 x86SOL32 1CD

Synopsys ESP vC-2009.06 x86SOL64 1CD

Synopsys Finesim(FSIM) vK-2015.06 Linux64 1DVD

Synopsys.FineSimPro.2012.12.SP3.Linux 1CD

Synopsys Formality vO-2018.06 SP1 Linux64 1CD

Synopsys Formality.vE-2010.12 SP2 Linux 1CD

Synopsys Formality vJ-2014.09 SP3 Linux64 1CD

Synopsys Fpga vN-2018.03 SP1 Windows & Linux 2DVD

Synopsys FPGA Synthesis Products vJ-2015.03 SP1.Windows 1DVD

Synopsys.Fpga.Synthesis.vJ-2015.03.Linux 1DVD

Synopsys Fpga Synthesis vG-2012.09.SP1 Windows 1CD

Synopsys Fpga Synthesis vG-2012.09.SP1 Linux 1DVD

Synopsys FPGA Synthesis Products vI-2014.03 Windows 1DVD

Synopsys FpGA Compiler II v3.8 1CD

Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD

Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD

Synopsys Galaxy Constraint Analyzer vH-2013.06 SP1 Linux 1CD

Synopsys Galaxy Custom Designer 2012.09-SP1 Linux32_64 2DVD

Synopsys Hercules vB-2008.09-SP5 Linux32_64 2CD

Synphony HLS vD-2009.12 1CD

Synphony HLS vD-2009.12 Linux 1CD

Synopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶体管级仿真器)

Synopsys Hsimplus vG-2012.06-SP1 Linux32_64 2DVD

Synopsys Hsimplus vC-2009.06 Sparc64 1CD

Synopsys Hsimplus vC-2009.06 SparcOS5 1CD

Synopsys Hsimplus vC-2009.06 SUS32 1CD

Synopsys Hsimplus vC-2009.06 SUS64 1CD

Synopsys Hsimplus vC-2009.06 x86SOL32 1CD

Synopsys Hsimplus vC-2009.06 x86SOL64 1CD

Synopsys Hspice.vL-2016.06.SP1 WinALL 1CD(完全安装版,高精确度的模拟电路仿真软件)

Synopsys Hspice vM-2017.03-2 Linux64 1CD

Synopsys Hspice vK-2015.06.Linux32_64 2CD

Synopsys Hspice.vG-2014.09-2 Linux32_64 2CD

Synopsys.Hspice.vJ-2014.09-2.Suse32_64 2CD

Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD

Synopsys Hspice vY-2006.09.SP1 Doc 1CD

Synopsys ICC2 vO-2018.06 SP1 Linux64 1DVD

Synopsys IC Compiler II (ICC2) vO-2018.06 SP1 Linux64 1DVD

Synopsys IC Compiler II vK-2015.06 Linux64 1CD

Synopsys IC Compiler vO-2018.06 SP1 Linux64 1DVD

Synopsys IC Compiler vH-2013.03 Linux32_64 2CD

Synopsys IC WorkBench(ICWB)vV-2004 Solaris 1CD(高速版图设计可视化和光刻分析)

Synopsys Ident vC-2009.06 SP2 Win32 1CD

Synopsys Ident vC-2009.06 SP2 Linux 1CD

Synopsys Identify vN-2018.03 SP1 Windows & Linux 2DVD

Synopsys Identify vL-2016.03-SP1 Windows & Linux 2CD

Synopsys Identify vH-2012.12 Win32 1DVD

Synopsys Identify vH-2012.12 Linux 2DD

Synopsys Power Fault IDDQ(idq) vK-2015.06 Linux64 1CD

Synopsys IDQ vC-2009.06 SP3 Linux 1CD

Synopsys IDQ vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys IDQ vC-2009.06 SP1 SUS32 1CD

Synopsys IDQ vC-2009.06 SP1 SUS64 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD

Synopsys Innovator v2009.12 SP1 Win32 1CD

Synopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)

Synopsys Jupiter vY-2006.06 SP1 Linux 1CD

Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD

Synopsys LEDA vH-2013.03 Linux 1CD

Synopsys LEDA vB-2008.06 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD

Synopsys NCX vE-2010.12 SP3 Linux64 1CD

Synopsys Magellan vB-2008.09 Linux 1DVD

Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD

Synopsys.Mempro.v2001.11.For.NT 1CD

Synopsys Siliconsmart vL-2016.03 Linux64 1DVD

Synopsys Milkway(MW) vL-2016.03 SP1 Linux64 1CD

Synopsys Milkyway vJ-2014.09 SP3 Linux64 1CD

Synopsys Milkyway vG-2012.06 SP3 Linux32_64 2CD

Synopsys.MW.vH-2013.03.Linux32_64 2CD

Synopsys NanoSim tool vC-2009.06 Linux 1CD

Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD

Synopsys.NanoSim.vB-2008.09.Sparc64 1CD

Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD

Synopsys MVtools vH-2013.06 Linux32_64 2CD

Synopsys.Mvtools.vJ-2014.12.SP1.Linux64 1CD

Synopsys Ncx vB-2008.12 Linux 1CD

Synopsys NS Hsim XA vD-2010.03 Linux 1DVD

Synopsys NS Hsim XA vC-2010.03 LinuxAMD64 1DVD

Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD

Synopsys NS Hsim XA vC-2009.06 SUS32 1CD

Synopsys NS Hsim XA vC-2009.06 SUS64 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL32 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL64 1CD

Synopsys NS Hsim XA vC-2009.06Sparc64 1CD

Synopsys.NT.vC-2009.06.Linux 1CD

Synopsys PCI-X v2.0 1CD

Snopsys Platform Aarchitecture vJ-2015.03 Linux 1DVD

Snopsys Powerprime vO-2018.06 Linux 1DVD

Synopsys PP vV-2003.12 SP1 Linux 1CD

Synopsys.PrimePower vY-2006.06 Linux 1CD

Synopsys Primerail vA-2008.12.SP1 Linux 1CD

Synopsys primerail vD-2010.06 SP1 LinuxIA32 1CD

Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD

Synopsys PrimeTime 2000.05-1 for winNT 1CD(静态时序分析工具)

Synopsys Primetime vD-2009.12 SP3 Linux 1CD

Synopsys Primetime vD-2009.12 SP3 LinuxAMD64 1CD

Synopsys PT vO-2018.06 SP1 Linux64 2DVD

Synopsys PrimeTime StandAlone(PTS) vO-2018.06 SP1 Linux64 1DVD

Synopsys.PTS.vH-2013.06.Linux32_64 2DVD

Synopsys PWA tool vD-2009.12 Win32 1CD

Synopsys PWA tool vD-2009.12 Linux64 1CD

Synopsys Pycell Studio 2014.09 Windows 1CD

Synopsys Pycell Studio v2014.09 Linux 1CD

Synopsys Ranxt vD-2009.12 SP3 Linux32_64 2CD

Synopsys Ranxt vC-2009.06 SP1 Sparc64 1CD

Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD

Synopsys Saber vL-2016.03 Windows 1DVD

Synopsys Saber vJ-2015.03 Linux 1DVD

Synopsys Saber vI-2013.12 Linux 1DVD

Synopsys Saber vI-2013.12 Windows 1DVD

Synopsys Saber vE-2011.03 WinALL 2DVD(不同的工程领域--水利、电气、电子及机械等进行

                                     物理作用仿真的软件,也可作为信号流算法软件)

Synopsys SaberRD vJ-2015.03 Windows 2DVD

Synopsys SaberRD vD-2011.03.Win32 2DVD

Synopsys SaberHDL Y-2006.06 WinALL 1CD

Synopsys.Sentaurus.vG-2012.06.SP2.Linux 1DVD

Synopsys.Sentaurus.vH-2013.03.Linux64 1DVD

Synopsys Simif vC-2009.09.SP1. Linux 1CD

Synopsys Simif vB-2008.09 Sparc64 1CD

Synopsys Simif vB-2008.09 SparcOS5 1CD

Synopsys SmartModel Library v2009.06a Linux 1CD

Synopsys SmartModel Library v2009.06a Linux64 1CD

Synopsys.Sold.v2009.03.Linux 1CD

Synopsys SPW vE-2010.12 Win32 1CD

Synopsys SPW vE-2010.12 Linux 1CD

Synopsys ssd vA-2007.09 Linux 1DVD

Synopsys STARRC vK-2015.06 Linux64 1CD

Synopsys.Starrc.vH-2012.12.SP2.Linux32_64 2CD

Synopsys StarRCXT vD-2009.12 LinuxAMD64 1CD

Synopsys Spice Explorer 2012.06.SP1.WinALL 1CD

Synopsys.SpiceExplorer.vG-2012.06.SP1.Linux32_64 2CD

Synopsys Syn vO2018.06 SP1 Linux64 1DVD

Synopsys Synplify vL-2018.03 Windows & Linux 2DVD+2CD

Synopsys Synplify vL-2016.03-SP1 Windows & Linux 2DVD

Synopsys Synplify vK-2015.09 Windows 1DVD

Synopsys Synplify vJ-2015.03 SP1 Windows 1DVD

Synopsys Synthesis Tools tool vD-2010.03 Linux 1CD

Synopsys Synthesis Tools vO-2018.06 SP1 LinuxAMD64 1CD

Synopsys TCAD Sentaurus vD-2010.03.Linux 1DVD

Synopsys TCAD Sentaurus vI-2013.13 LinuxAMD64 1DVD

Synopsys Tcad Taurus Medici vD-2010.03 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD

Synopsys Tcad Taurus Tsuprem4 vD-2010.03 Linux 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD

Synopsys TetraMAX Overlay with Synthesis(tx) vK-2015.06 Linux64 1CD

Synopsys TetraMax vJ-2014.09 SP3 Linux64 1CD

Synopsys TX vC-2010.03 SP2 Linux 1CD

Synopsys TX vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TX vC-2009.06 SP1 SUS32 1CD

Synopsys TX vC-2009.06 SP1 SUS64 1CD

Synopsys TX vC-2009.06 SP1 x86SOL32 1CD

Synopsys TX vC-2009.06 SP1 x86SOL64 1CD

Synopsys TetraMAX StandAlone(txs) vK-2015.06 Linux64 1CD

Synopsys TXS vC-2009.06 SP3 Linux 1CD

Synopsys TXS vC-2009.06 SP3 LinuxAMD64 1CD

Synopsys TXS vC-2009.06 SP1 SUS32 1CD

Synopsys TXS vC-2009.06 SP1 SUS64 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD

Synthesis Tools tool vZ-2007.03 SP1 Linux 1CD

Sentaurus vX-2005.10 SP1 Linux 1CD

Hspice 2005.09 英文用户手册

Hspice 语法手册

 

Synopsys Star-HSpice v2006 03 SP1 1CD(电路仿真软件)

Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CD

Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD

synopsys.Vera.vI-2014.03.Linux32_64 2CD

Synopsys Vera vD-2009.12 Linux32_64 2CD

Synopsys Vera v6.3.10 solaris 1CD

Synopsys Verdi vN-2017.12 SP2 Linux64 1DVD

Synopsys Verdi3.vJ-201412.SP2.Linux32_64 2DVD

Synopsys Verdi3 vI-2014.03 Linux 1DVD

Synopsys.VCS.v6.0.1.WinNT_2k 1CD

Synopsys VCS vM-2017.03-SP2 Linux32_64 2DVD

Synopsys.VCS.vI-2014.03-2.Linux64 1CD

Synopsys VCS vG-2012.09 Linux32_64 2CD

Synopsys VCS Verification IP 2012.12 Linux 1CD

Synopsys VCS MX vN-2017.12 SP2 Linux64 1DVD

Synopsys VCS-MX.vH-2014.03.Linux32_64 2DVD

Synopsys.2001.08.Core.Synthesis.for.linux 1CD 

 

Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)

SynpliCity Identify RTL Debugger v2.0.1 1CD 

Synopsys Synplify Pro vH-2013.03 Window 1DVD

Synopsys Synplify vF-2012.03 Linux32_64 2DVD

Synplify Fpga vF-2010.09 Linux 2CD

Synplify DSP v3.6 1CD

Synplify.Premier.v9.61 Linux 1CD

Synplify.Premier.v9.6.2.with.Identify.v3.02 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速

                            度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)

Synplify Pro v9.2.2 Linux 1CD

Synplify v8.5 with Identify v2.3.2 Linux 1CD

Synplify ASIC v5.02 for win & linux & sun & unix 1CD

Taurus Medici vV-2003.12 linux 1CD

Virtio VPAI 2.0 Platform 1CD

 

Bosch Rexroth Group产品:

Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)

Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA)

 

Intercept产品:

Intercept Pantheon 6.0.04B Win32 1CD(PCB/Hybrid/RF设计辅助软件)

Intercept Pantheon 6.0.04B Linux 1CD

Intercept Pantheon 6.0.04B Solars 1CD

 

SANDWORK DESIGN INC.产品:

Design Spice Explorer v2007.1 1CD

Design Spice Explorer v2003.1 Linux 1CD

 

Tanner产品:

Tanner.L-EDIT.pro.with.LVS.v10.0-ISO  1CD(IC设计验证系统软件)

Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)

Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)

Tanner EDA Tools v16.01 Win64 1DVD

TannerTools v16.3 Win64 1CD

Tanner Tools v15.01 1CD(集成电路设计环境)

 

AMTECH产品:

Amtech.v2006-ISO 1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)

Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)

 

CIM-TEAM Inc.产品:

CIM-Team DDS-C R12 1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)

 

 

VANDERPLAATS R&D产品:

Valor Genesis v10.2 1CD

Valor Genesis v10.0 1CD

Valor Genesis v9.7 1CD

Valor Genesis v9.2c 1CD

Valor Genesis2000 v8.0a WinNT4_2K 1CD

Valor GeneSIS 2000 中文教程

 

Valor Enterprise 3000 v7.2.4 1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,

                   可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,

                   减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)

Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD

华笙 v4.9 for WinXP 1CD

飞针IGI软件(igi8.20) 1CD

 

CADENAS产品:

Cadence.ADW.v16.60.015.Linux 1CD

Cadence Altos v12.12.000 Linux 1CD

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v07.10.003 Linux 1CD

Cadence ASI v16.64.002 Win32_64 2DVD

Cadence ASI 16.63.000 Update Only Win32_64 2DVD

Cadence.ASI.v16.62.000.Update.Only.Linux 1DVD

Cadence ASI 16.62 Update Only Win64 1DVD

Cadence ASI v16.61 Update Only Win32_64 2DVD

Cadence ASSURA 6.16.04.14.001 Linux 1DVD

Cadence ASSURA v6.15.04.12.017 Linux 2DVD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v4.10.006 Update Linux 3CD

Cadence Assura v4.12.004.615 Update Linux 4CD

Cadence Assura v3.13 for IC4.46 Linux-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)

Cadence Allegro and OrCAD (Including EDM) v17.20-2016 Win64 1DVD

Cadence Allegro and OrCAD (Including EDM) v17.20.000-2016 HF042 Update Only Win64 1DVD

Cadence Allegro and OrCAD 17.20.000-2016 HF045 Update 1DVD

Cadence SPB 17.20.000 Linux 1DVD

Cadence SPB 17.20.007 Hotfix Only Linux 1DVD

Cadence.OrCAD.Allegro.v17.20.030.Hotfix.Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v17.00-ISO 1DVD(电子电路设计软件)

Cadence Allegro and OrCAD (Including ADW) 17.00.001 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.001.Hotfix.1 Windows 1CD

Cadence Allegro and OrCAD (Including ADW) v17.00.005 Hotfix 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO 5CD(电子电路设计软件)

Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only 1CD

Cadence SPB/OrCAD (Allegro SPB) v16.60.001 Update Only 1CD

Cadence OrCAD Library Builder & Documentation Editor v16.6 Win32 1CD

Cadence Allegro and OrCAD (Including EDM) 17.20.007 Linux 2CD

Cadence SPB/OrCAD (Allegro SPB) v17.00.0 Linux 1DVD

Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux 3CD

Cadence OrCAD Capture CIS 9 实用教程 1CD

Cadence OrCAD  问题集锦 1CD

 

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取

                             和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)

Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux 2CD

Cadence Ccopt 2012 Linux 1CD

Cadence.CICE.v05.01.000.Linux 1CD

Cadence Conformal v15.20.100 Linux 1CD

Cadence Conformal v8.1 Linux64 1CD

Cadense Conformal LEC v10.1 Linux 1CD

Cadence CTOS v13.20.200 Linux 1CD

Cadence.CTS v9.1 Linux 1CD

Cadence.EDI-ISR3.v13.23.000.Linux 1DVD

Cadence EDI v13.12.000 Linux 1DVD

Cadence EDI v12.0 Linux 6CD(即SOC-Encounter,完整的综合布局布线系统)

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v9.10.100 Linux 1CD

Cadence Encounter timing system(ETS) v11.11.001 Linux 2DVD

Cadence Encounter Test 15.12.000 Linux 1DVD

Cadence Encounter Test(ET) v13.10.100 Linux 1DVD

Cadence.EXT.v13.20.157.Linux 1CD

Cadence Forte CynThesizer 05.03.400 Linux 3CD

Cadence GENUS 15.2 Linux 3DVD

Cadence Kitsocv v08.20.003 Linux 3CD

Cadence KMC v04.14.000 Linux 1CD

Cadence KQV v05.13.002 Linux 1CD

Cadence PDK Automation System (PAS) Release v03.05.003 Linux 1CD(最新版PDK自动化系统)

Cadence PDK Automation System (PAS) Release v03.05.003 Windows 1CD

Cadence PAS v3.1 Linux 1CD(PDK自动化系统)

Cadence.Pcell.PAS.v3.1.Linux 1CD

Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)

Cadence PVE v12.10.488 Linux 1DVD

Cadence PVS v15.23.000 Linux 1DVD

Cadence PVS v15.13.000 Linux 1DVD

Cadence Physical Verification System(PVS) v10.1 Linux 1CD

Cadence Physical Verification System(PVS) v10.12.155 Update Only Linux 1DVD

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence.RC.v12.22.000.Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence RFSIPKT v07.02.001 Linux 1CD

Cadence.SPB.v16.3.Linux 5CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence.TTI.v01.30.001.Linux 1CD

Cadence Spectre v17.10.124 Linux 1DVD(就是最新的MMSIM 3.68G)

Cadence MMSIM 15.10.385 Linux 7DVD

Cadence MMSIM v14.10.255 Linux 2DVD

Cadence MMSIM v13.1 Linux 5CD

Cadence MMSIM v12.10.317 Linux 7CD

Cadence MMSIM v11.10.445 Linux 2DVD

Cadence MMSIM v10.11.017 Update Linux 1DVD

Cadence MMSim v10.10.204 Linux 3CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence MMsim v6.2 linux 7CD

Cadence MVS 15.20.000 Linux 1CD

Cadence MVS v12.11.465 Linux 1DVD

Cadence NEOCKT-03.04.011 Linux 1CD

Cadence IC Craftsman v11.241 1CD

Cadence IC 06.17.700 Virtuoso Linux 1DVD

Cadence IC Design Virtuoso v6.1.6 ISR8 Linux 6DVD

Cadence.IC.Design.Virtuoso.06.17.721.Hotfix.Only 1DVD

UofU.Digital.v1.2 for Cadence IC v5 (CDB) 1CD

UofU.Digital.v1.2 for Cadence IC v6 (OA) 1CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升级文件)

Cadence.ICADV.v12.30.700.Linux 1DVD

Cadence IFV v8.20.012 Linux 2CD

CADENCE INCISIVE v15.20.001 Linux 13DVD

Cadence INCISIVE v15.20.002 Hotfix Linux 6DVD

Cadence INCISIV 14.10.014 Linux 2DVD

Cadence INCISIV v13.20.002 Linux 1DVD

Cadence.Incisive.Enterprise.Simulator(IES) v8.2 Linux 1CD

Cadence InCyte Chip Estimator v03.04.008 WinALL 1CD

Cadence Indago 15.10.001 Linux 2DVD

Cadence Innovus v15.20.000 Linux 1DVD

Cadence Liberate 15.10.000 Linux 1DVD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence Low Power Methodology Kit v08.02.001 Linux 3CD

Cadence iScape v4.21 Linux 1CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence Incisive Unified Simulator(IUS) v10.2 Linux 1DVD

Cadence Xcelium v18.03.001 Linux 1DVD(即原IUS)

Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)

Cadence SEV v4.1 Linux 1CD

Cadence Design Systems Sigrity 2017 Win64 1DVD

Cadence Design Systems Sigrity 2017 HF003 2DVD

Cadence Design Systems OpenPOWER Compliance Kits for Sigrity 2017 1DVD

Cadence Quantus QRC EXT v15.23.000 Linux 3DVD

Cadence Sigrity 2016 v16.00.002 Win64 1DVD

Cadence Sigrity 2016 Windows 1DVD

Cadence Sigrity 2015 Win64 1DVD

Cadence SPW v4.9 Linux 1CD

Cadence SSV v15.20.000 Linux 1DVD

Cadence Stratus 15.20.100 Linux 2DVD

Cadence Stratus v17.10.100 Base Linux 1DVD

Cadence Stratus v17.15.100 Update linux 1DVD

Cadence.SWI.v13.10.001.Linux 1CD

Cadence VSDE v4.1 ISR17 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v17.10.100 Linux 1CD

Cadence.VG.GNU.PACKAGE.2012.09.Linux 1DVD

Cadence VIPCAT v11.30.021 Linux 2DVD

Cadence ZYNQVP v11.10.055 Linux 1CD

Cadence.IC设计.全资料教材 1CD

Allegro 14.2 中文教材

Allegro 15.X学习与使用(中文)

Cadence Allegro简易手册(中文版)

Cadence 使用参考手册(中文版)

CADence PCB设计中文教程

 

Ultra Librarian v7.5.114 1CD

ULTRA Librarian Gold v3.0.1034 1CD(程序库设计工具)

Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品)

Specctra (Allegro PCB Router) 16.6 112 Win32 1CD

Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)

Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

Orcad Library Builder v16.6.62 1CD

SKILLCAD v41R Linux64 1CD

 

SpringSoft产品:

Laker.v2016.12.Linux64 1CD

Laker 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol 1DVD

Laker v2011.06 Linux32 1CD

Laker v2011.06 Linux64 1CD

Laker 2009.12 P2 Linux 1CD

Laker 2009.12 P2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 2009.12 P2 Symbol 1CD

Laker 2009.12 P2 Document 1CD

Laker 32 v3p6 LabBook

Laker Document 1CD(适用3.0版和更高版的用户手册)

Laker.ADP.v2015.03.Linux32_64 2CD

Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)

Laker AMS v6.1p4 Linux

Laker.OA.vJ-2014.09-SP1-4.Linux64 1DVD

 

ADP 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol & Xlib 1CD

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

 

Intusoft产品:

ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)

Intusoft Magnetics Designer v4.1.0 Build 350 1CD

 

Aegis产品:

CircuitCAM v7.5 1CD

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(生成设备程式、建模软件)

BoardMaster LPKF v5.1 Full 1CD

LPKF CircuitCAM 6.1.5 build 1159 1CD(一个高端成熟的电路板生产CAM软件)

Circuitcam v5.0使用手册(中文)

 

Aucotec产品:

Aucotec ELCAD v7.8.0 Multilingual-ISO 1CD(ECAE系统,电子工程软件)

 

Altium产品:

Altium CircuitStudio 1.0.4 build 41779 1DVD

Altium Designer 18.1.9 build 240 1DVD

Altium Designer 18.0.7 Win64 1DVD

Altium Designer 17.1.6 Build 538-ISO 1DVD

Altium Designer 17.0.7 Build 424-ISO 1DVD

Altium Designer 16.1.12 Build 65033-ISO 1DVD

Altium Designer v16.0.6 Build 282-ISO 1DVD

Altium Designer v15.1.14 Win7_8 1DVD

Altium Designer 15.0.15 Build 41991-ISO 1DVD

Altium.Designer.v15.0.8.Multilingual-ISO 1DVD

Altium Designer 14.3.15 Build 35511 Multilingual-ISO 1DVD(电子产品开发系统)

Altium CERN Library 2014 1CD(电气元件库)

Altium Designer v10.818.23272 with All Plugins, Examples, Libraries 1DVD(9.61 G)

Altium Designer Winter 10 v10.600.22648 Win64-ISO 2DVD(电子产品开发系统)

Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO 1CD

Altium Vault 3.0.13 1CD

Protel DXP Altium v7.0 WinNT_2000_XP-ISO  1CD

Protel Dxp 2004 sp2-ISO( 完全安装版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成库 1CD

Protel DXP 2004汉化及工具

Protel 98-ISO 1CD (简体中文破解版)

Protel 99SE Sp6 1CD(简体中文版,含第二版)

Protel 99 正式版 1CD

Protel 99 SE 的入门说明书(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 电路设计及应用教程

Protel DXP 培训教材(中文)

 

P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件)

 

InduSoft Web Studio v7.1 SP3 1DVD(功能强大的自动化整合开发工具)

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

 

FAMIC TECH INC产品:

Automation Studio P6 SR9 Win32-ISO 1DVD

Automation Studio 6.0.0.10932 Win32 1CD(电路设计、模拟和项目文件软件)

 

NEC产品:

EMCoS Studio 2017 Win64 1DVD

EMCoS 2013 EM Simulation Suite 1DVD

     include:

            EMCoS Antenna VirtualLab 1.0

            EMCoS PCB VLab 1.0

            EMC Studio 7.0

EMCoS Antenna VLab 1.01 1CD

EMC Studio v7.0 1CD(电磁兼容分析软件)

NEC EMIStream v4.5001 1CD(EMC防真软件)

EM.Cube 2013.Win32_64 2CD

 

Remcom, Inc.产品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)

XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)

XFDTD v7.3.0.3 Win64 1CD

XGTD v2.1 1CD(电磁仿真和分析软件)

Remcom XFDTD XF7 7.3.0.3 Win64 1CD

 

CST产品:

CST STUDIO SUITE 2018 Win32_64-ISO 1DVD

CST STUDIO SUITE 2016 SP1 Win32_64-ISO 1DVD

CST Studio Suite 2016 SP6 Update Only 1CD

CST.Studio.Suite.v2015.00.Win32_64-ISO 1DVD

CST Studio Suite 2015 SP6 Update Only 1CD

CST.Studio.Suite.v2014.Win32_64-ISO 1DVD

CST.Studio.Suite.v2014.SP6.Update.Only 1CD

CST.Studio.Suite.v2012.With.SP5.WinALL 1DVD

CST Studio Suite 2012 SP8 Update Only 1CD

CST Studio Suite 2012 SP7 Update Only 1CD

CST Studio Suite 2012 SP6 Update Only 1CD

CST.Studio.Suite.v2008.Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)

CST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)

CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具

                           交换数据以及分割设计数据和程序库化等作业)

CST.MicroStripes.2009.v8.0 1CD

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)

CST Mafia v4.1 1CD

 

APLAC SOLUTIONS产品:

PCselCAD v10.03 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件) 

PC|SCHEMATIC Automation 19.0.2.72 1CD(专业电气绘图软件)

PCschematic ELautomation v9.0.6 正式免狗中文版 1CD(带正版数据库压缩包)

PCschematic ELautomation v9.0 1CD(英文版)

PCschematic (施耐德)元件库

PCschematic 完整教程

PL7 Pro v4.4 1CD

Schneider Electric SoMachine 4.1 SP1.2 Win64 1DVD(一款集成Vijeo-Designer软件的开放、高效的专业软件解决方案)

Schneider Electric SoMachine v4.1.0 Win32_64 1DVD

Schneider-Electric.Unity.Pro.XL.v7.0-ISO 1DVD(含简体中文版)

Schneider Electric Vijeo Citect v7.40 SP1 1DVD

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)

Sepam SFT2841 v10.0 1CD

 

IAR产品:

IAR EWAVR v5.3.02-ISO 1CD

IAR Embedded Workbench for 78K v4.81 1CD

IAR.Embedded.Workbench.for.8051.v10.20.1.Full 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.EW430.320A 1CD(嵌入式工作台)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR Embedded Workbench for ARM 8.30-ISO 1DVD

IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full 1CD

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR v6.80.8.Full 1CD

IAR Embedded Workbench for AVR32 v3.31.3 1CD

IAR.Embedded.Workbench.for.CR16C.v3.30

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR Embedded Workbench for Freescale Coldfire v1.23.1 1CD

IAR.Embedded.Workbench.for.Freescale.HCS12.v3.20.2 1CD

IAR Embedded Workbench for Freescale HCS08 v1.20.2 1CD

IAR.Embedded.Workbench.for.H8.v1.53I

IAR Embedded Workbench for HCS12 v4.10.1 1CD

IAR Embedded Workbench for M16C & R8C v3.70.1 1CD

IAR.Embedded.Workbench.for.MAXQ.v2.30.1

IAR.Embedded.Workbench.for.MCS-51.v7.21A

IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A

IAR.Embedded.Workbench.for.MK5.v1.24A

IAR.Embedded.Workbench.for.MSP430.v7.12.1 1DVD

IAR.Embedded.Workbench.for.NEC.v850.v3.30

IAR.Embedded.Workbench.for.NEC.78K.v4.40A

IAR Embedded Workbench for National Semiconductor CR16C v3.10.1 1CD

IAR Embedded Workbench for Microchip PIC18 v3.10

IAR.Embedded.Workbench.for.PICmicro.v2.21A

IAR Embedded Workbench for R32C v1.40.2 1CD

IAR Embedded Workbench for Renesas 32C v3.30.1 1CD

IAR Embedded Workbench for Renesas 78K v4.71.2 1CD

IAR.Embedded.Workbench.for.Renesas.H8.v2.10A

IAR.Embedded.Workbench.for.Renesas.M16C/R8C.v3.50.6 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL

IAR.Embedded.Workbench.for.Renesas.M32C.v3.30.1 1CD

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL

IAR Embedded Workbench for Renesas R32C v1.31.1 1CD

IAR Embedded Workbench for Renesas RX 4.10 1CD

IAR Embedded Workbench for RL78 v3.10.1 Win32_64 1CD

IAR Embedded Workbench for Renesas RH850 v2.10.1 1CD

IAR Embedded Workbench for RH850 v14.0.1 1CD

IAR Embedded Workbench for RX v3.10.1 1CD

IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL

IAR Embedded Workbench for STMicroelectronics STM8 1.40.1 1CD

IAR Embedded Workbench for SuperH 2.30 1CD

IAR Embedded Workbench for Renesas V850 v3.71.1 1CD

IAR Embedded Workbench for STM8 v3.10.1 1CD

IAR.Embedded.Workbench.for.TI.MSP430.v3.41A 1CD

IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL 1CD

IAR Embedded Workbench for V850 v4.20.1 1CD

IAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++编译器和调试器)

IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full

IAR Embedded Workbench Limited Edition for 6502

IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL

IAR.Embedded.Workbench.AVR.v2.27B

IAR.PowerPac.for.ARM.v2.32.2 1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统) 

IAR PowerPac Base for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Basic for ARM v2.40.2-ISO 1CD

IAR PowerPac GUI Professional for ARM v2.40.2-ISO 1CD

IAR PowerPac TCP/IP Base for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Device for ARM v2.40.2-ISO 1CD

IAR PowerPac USB Host for ARM v2.40.2-ISO 1CD

IAR AVR C-SPY ROM-Monitor Debugger v5.40.1 1CD

IAR VisualState v6.3.2 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)

IAR中文使用手册

FlowCode Pro 7.1.1.0 1CD

FlowCode for PIC v4.3.6.61 1CD

Flowcode.for.ARM.v4.3.8.64 1CD

Flowcode for AVR v4.3.6.61 1CD

Renesas.Nc30WA.v5.30.R02.Final

 

RA产品:

Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD

Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD

Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD

Rowley.Associates.CrossWorks.for.MSP430.v2.0 1CD

 

NASSDA CORP.产品:

Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)

Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)

Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)

Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)

 

TimingDesigner.v9.2 1CD(时域分析和图示工具)

TimingDesigner.v9.2 Linux 1CD

TimingDesigner.v9.2 Solaris 1CD

 

Precience产品:

PCB Navigator 5.1 1CD

 

SCHMID & PARTNER ENGINEERING AG产品:

SemCAD v13.4 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS 研究提供支持和帮助,

          同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)

 

Syncopation.Software产品:

DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,

                 卫星发射装置的可靠性分析, 计算机网络的安全性分析等)

DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等)

 

Telemagic.AB产品:

Telelogic.Rhapsody.v8.04.Win32_64 2DVD

Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真开发)

Telelogic.Rhapsody.v7.2.Linux-ISO 1CD

Telelogic.Rhapsody.v7.2.Documentation-ISO1CD

Telelogic.Doors.v7.1 1CD(需求管理软件)

Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.Cygwin.Adapter.v7.0 1CD

Telelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CD

Telelogic.Rhapsody.Integrity.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0 1CD

Telelogic.Rhapsody.Reporter.Plus.v7.0 1CD

Telelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.VxWorks.Adapter.v7.0 1CD

Sodius.Rhapsody.RulesComposer.v7.0.24 1CD

Telelogic.TAU.Generation2.v2.4-ISO 1CD(可视化系统、软件开发和测试工具环境)

Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CD

Telelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CD

OSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0 1CD

OSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CD

Sodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CD

I-Logix.Statemate.v4.1-ISO 1CD(面向功能需求的系统级自动设计软件包) 

 

HOMER Energy LLC产品:

HOMER.Energy.HOMER.Pro.v3.11.6561.Win64 1CD

HOMER Pro 3.11.2 Win64 1CD(世界领先的微电网建模软件) 

 

VSI产品:

VisSim.v8.0 1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)

VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的 C 语言标准的程序源代码)

VisSim.Comm.v6.0A 1CD(卫星、终端等的通信分析软件)

VisSim.Embedded.Controls.Developer.v6.0 1CD(为速成原型法和内嵌控制系统提供一个开发环境)

VisSim.ECD.for.TI.C2000.v5.0e.Win9xNT2K 1CD(用于TI C2000系列DSP快速样机开发,它可以为TI公司的DSP

                          家族中的C2000系列快速开发运动控制系统板的样机原型)

VisSim.Neural-Net.v6.0 1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)

VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接 VisSim 系统模型)

 

Celoxica产品:

Celoxica.Agility.Compiler.v1.3 1CD(Agility C编译器)

Celoxica.Agility.Compiler.v1.3.Linux.Debian 1CD

Celoxica.DK.Design.Suite.and.PDK.v5.0.SP5 1CD(高阶设计方法)

 

Concent Engineering产品:

SpiceVision Pro v2.3.6 1CD(很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

SpiceVision v2.1 WinALL 1CD

Spice Vision 2.1 Linux 1CD

 

单片机软件:

Dolphin.Solutions.2013.Q2.1.Windows 1CD

Dolphin.Integration.Smash.v6.10 1CD(混合信号兼顾多层次模拟软件,能完全符合混合类比与逻辑信号电路的需求)

Dolphin.Integration.SMASH.v5.17.0.Linux 1CD

Dolphin.Smash.v5.12.2.Solaris 1CD

Dolphin.Integration.SoC.GDS.v6.10.0 1CD 片上系统图形显示工具)

Dolphin Soc.GDS v6.30 for Linux 1CD

Dolphin.SoC.GDS.v6.30.LINUX.x64 1CD

Dolphin.SoC.GDS.v6.30.Solaris 1CD

Dolphin.SoC.GDS.v6.30.Solaris64 1CD

Dolphin Soc.GDS v5.6 for HP-UX 1CD

 

HDL.Works.HDL.Companion.v2.8.R2.for.Windows 1CD(用来获得对你的HDL设计的一个很好的总揽,并将其保持的一个独一无二的工具)

HDL.Works.HDL.Companion.v2.8.R2.for.Linux.x64 1CD

HDL.Companion.v2.7.R1.Linux 1CD

HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Linux 1CD

HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Windows 1CD

HDL.Works.HDL.Design.Entry.EASE.v8.4.R3.for.Windows 1CD(集成电路芯片设计工具)

HDL.Works.HDL.Design.Entry.EASE.v8.4.R3.for.Linux.x64 1CD

HDL.Works.HDL.Desing.Entry.ConnTrace.v1.3.R1.for.Linux 1CD

HDL.Works.HDL.Desing.Entry.ConnTrace.v1.3.R1.for.Windows 1CD

HDL.Design.Entry.EASE.v8.1.R7.for.Linux 1CD

HDL.Entry.Ease.v6.0.R11.SOLARIS 1CD

HDL.Works.IO.Checker.v3.3.R4.for.Windows 1CD

HDL.Works.IO.Checker.v3.3.R4.for.Linux.x64 1CD

HDL.Works.IO.Checker.v2.2.R5.for.Linux 1CD

TransLogic HDL ComPanion v1.2 R2 Solaris 1CD

Translogic HDL Entry Ease and Eale v5.1R9 1CD

Translogic HDL Entry Ease and Eale v4.1.7 Linux 1CD

Translogic Ease v5.2 R10 and Eale v5.2 R8 1CD

 

Aldec Active-HDL v10.2.3312.5682 Win64 1CD

Aldec Active-HDL v10.1 Win32_64 2CD(ALDEC公司的Active-HDL是一个开放型的仿真工具)

Aldec Active-HDL v9.3 Win32 1CD

Aldec.Active.HDL.v6.3.VERILOG.Libaraies.Addon 1CD

Aldec.Active.HDL.v6.3.VHDL.Libaraies.Addon 1CD

Aldec.Active.HDL.v6.3.Xilinx.Schemetic.Libaraies.Addon 1CD

 

Aldec.Riviera-PRO.2017.02.99.Win32 1CD

Aldec.Riviera-PRO.2015.02.76.Win32_64 2CD

Aldec Riviera-PRO 2014.06 Win32_64 & Linux 3CD

Aldec Riviera-PRO 2014.2 Win64 1CD

Aldec Riviera-Pro v2013.10.81 Win32_64 2CD(业界独特的单内核VHDL/Verilog和EDIF混合仿真器)

Aldec.Riviera.v2007.02.Linux 1CD

Aldec.Riviera.v2007.02.LiNUX64 1CD

 

Aldec.ALINT.v2012.12.SR2.Win32_64 2CD(可支援Verilog语法的设计规则检验器)

Aldec.ALINT.v2008.02.Linux 1CD

 

CodeWarrior for Microcontrollers v6.3 1CD

CodeWarrior HC08 v3.0 1CD

CodeWarrior for HC12 v4.6 1CD

CVAVR v1.24.1e 1CD

DebugFactory Builder for AM1 Starter KIT松下单片机 1CD

DeLaMancha.PULS.v1.1.VSTi 1CD

FastAVR v4.0 1CD(以BASIC语言为基础的AVR开发平台)

FranklinC51 1CD(51单片机C语言开发环境)

FuzzyTECH Pro v5.54 1CD(单片机的模糊控制开发软件)

ICCV7 for AVR v7.19 1CD

ImageCraft.ICCAVR.Professional.v6.31a 1CD(编译器)

 

Keil.products.from.ARM.2015.1.Suite 1DVD

Included:

         - Keil MDK-ARM 5.13               Development environment for Cortex and ARM devices.             - Keil MDK-ARM 4.74               Development environment for Cortex and ARM devices.             - Keil C251 5.57               Development tools for all 80251 devices.             - Keil C166 7.54               Development tools for C166, XC166, & XC2000 MCUs.             - Keil C51 9.53               Development tools for all 8051 devices.             - Keil Cortex-M Legacy Device Support for MDK-ARM 5.13             - Keil ARM7, ARM9 & Cortex-R Legacy Device Support for MDK-ARM 5.13

Keil C51 v9.56 1CD

Keil C166 v7.56 1CD

Keil C251 v5.59 1CD

Keil.Professional.for.C51.v9.0 1CD(适用于8051单片机及衍生系列如Dallas 390/5240/400, Philips 51MX, 及Analog Devices 的MicroConverters)

Keil.Professional.for.C166.v6.11 1CD(适用于XC16x, C16x, 及 ST10系列)

Keil.Professional.for.C251.v4.53a 1CD(适用于251 Microcontroller微处理机系列)

Keil RealView Microcontroller Development Kit 4.70 1CD

Keil MDK-ARM v5.22 1CD(用来开发基于ARM核的系列微控制器的嵌入式应用程序)

Keil MDK-ARM v5.22 Legacy Support 1CD

Keil RL-ARM v4.13 1CD(镶入式单片机实时控制模拟编程开发工具)

Keil Software –Cx51 编译器用户手册 中文完整版(403页)

Keil uVision2软件中文入门教程

 

Matcom v4.5 1CD

Mplab.C18.v3.0 1CD(单片机开发软件)

Metrowerks Codewarrior for DSP56800 v5.02 1CD

Metrowerks Codewarrior v6.1 for Coldfire 1CD

PMA Software BlueControl v2.8 SR3 Multilingual 1CD 

PCWH v3.227 1CD

GX configuator-DP Ver.500 1CD

GX Developer v 8.0 1CD

 

Melsoft iQ Works v1.43-ISO 1DVD(三菱IQ WORKS工程软件)

由四个软件包组成:                  工程的核心导航软件MELSOFT Navigator                  PLC设计开发软件MELSOFT GX Works2                  运动CPU设计维护软件MELSOFT MT Works2                  触摸屏画面开发工具软件MELSOFT GT Works3

 

三菱PLC编程软件

 

Magma Design Automation产品:

Magma v2005.05.12 Linux 1CD

Magma FineSim Pro v2010.08 Linux 1CD

Magma Siliconsmart 2010.10 Linux 1CD

Magma Talus v1.0.92 Linux32_64 1CD

 

Proteus Labcenter产品:

Proteus Pro v8.7 SP3 1CD

Proteus Professional 8.6 SP3 Build 23669 Repack 1CD

Proteus Professional 8.6 SP2 Build 23525 1CD

Proteus 8.5 SP1 with Advanced Simulation 1CD

Proteus v8.5 SP1 Pro build22252 Portable 1CD

Proteus Design Suite 8.5 SP0 1CD

Proteus Pro v8.5 SP0 Build 22067 Portable 1CD

Proteus Design Suite v8.4 SP0 1CD

Proteus 8.3 SP2 with Advanced Simulation 1CD(电路分析实物仿真系统)

Proteus.Pro.v8.0.SP1 1CD

 

Metrowerks产品:

CodeWarrior Development Studio v9.3 1CD

CodeWarrior Development Studio v9.3 Addon 1CD

 

WISE Software Solutions, Inc.产品:

Wise.Software.Solution.GerbTool.v16.7.6 1CD(功能强大的的PCB CAM工具和分析软件)

 

Mician产品:

Mician Microwave Wizard v7.5 Win32_64 1CD(波导设计软件)

 

Wise.Software.Solution.VisualCAM.v16.7.82 1CD(电子装配制造中的表面贴装技术应用软件)

Tektronix产品:

WaveStar.v2.6 1CD(示波器WaveStar软件(WSTRO)是简便的PC与TDS3000B系列直接的接口软件)

 

CoWare, Inc.产品:

Coware LisaTek.2005.1.1 for WinALL 1CD(嵌入式处理器设计及软件开发工具)

Coware LisaTek.2005.1.1 for Linux 1CD

CoWare.Processor.Designer(PD).v2010.1 1CD

Coware processor designer 2010.1 Linux 1CD

CoWare.Processor.Designer(PD).2011.Doc 1CD

CoWare.Signal.Processing.Designer(SPD).v2010.1 1CD(信号处理)

CoWare SPW 2010 1CD

CoWare SPW 5.02-XP 1CD(主要进行以下两点改进。第一是与美国The MathWorks, Inc.的技术运算编程

                        及解析环境“MATLAB”互联,第二是全面更新GUI(图形用户界面))

 

ADI产品:

Visual DSP v3.50-ISO  1CD(美国模拟器件公司(ADI)发布的DSP开发工具)

Visual DSP.PlusPlus.v3.5.for.16.bit.WinALL 1CD

 

QNX产品:

QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO 1CD

QNX Momentics Professional v6.2.1a-ISO 1CD

QNX.Neutrino8.v6.2.1.NC-ISO 1CD

QNX.Realtime.Platform.v6.10-ISO 1CD

 

ZUKEN产品:

Zuken.CR5000.Board.Designer/System.Designer.v12.0-ISO 2DVD

Zuken CADSTAR v16.0 1CD(基于PC的PCB设计解决方案)

Zuken.Cadstar.v12.1.SP 1 1CD

Zuken Cadstar 3D v5.0 1CD

Zuken.CadStar.Desktop.Design.v8.0 1CD

Zuken CADStar 中文培训手册

Zuken CR5000 中文教程

 

Zuken.Hotstage v4.21 1CD

Zuken Hot-Stage v4.03 WinNT 1CD

 

Zuken.E3.series.2017.v18.12.Win32_64 2CD

Zuken E³.series 2017 v18.12 Update.Win32_64 2CD

Zuken E3.series 2016 v17.00 1DVD

Zuken E3.Series 2015 v16.2016.1581.0 Multilanguage Win7_8 1DVD

Zuken.E3.series 2015 v16.03 Update 1CD

E3.Series.2012.Win32-ISO 1DVD(英文版)

E3.Series.2011 12.2011.1000.0.Win64-ISO 1DVD

E3.Series 2011 12.2011.1010.0 Win32_ 64 Update Only 1CD

 

FORSK产品:

Forsk.Atoll.v3.3.2.10366.Win32_64 2CD(无线网络规划软件)

 

美国AWR产品:

NI AWR Design Environment 13.02 Win64 1CD

NI AWR Design Environment Analyst v13.02.8379.1 Win64 1CD

NI AWR Design Environment v12.01 Win64 2CD(原名 AWR Corporation)

NI AWR Design Environment v11.04 Win64 2CD

AWR Microwave Office v11.0 Win64 1CD

AWR.Design.Environment.Vendor.Local.v8.0 1CD

AWR.Design.Environment.v10.04 1CD(射频/微波线路设计环境,整合了Microwave Office、Analog Office

                    Visual System Simulator、Signal Ingegrity工具,将主要用于模拟电路

                    和射频集成电路(RFIC)的设计、信号仿真、信号一致性检查集成到了一个界面中)

AWR.Design.Environment.v8.0.Documentation 1CD

AWR.Testwave.for.AWRDE.v2.06.Win32 1CD(须先安装AWR Design Environment v7.5)

AWR.Nuhertz.Filter.For.AWRDE.v5.14 1CD

Filter Wiz Pro v4.26 1CD(32位系统,滤波器电路设计软件,很好用!)

Nuhertz Filter Solutions 2015 v14.1.0 1CD(滤波器设计软件)

Nuhertz Zmatch v4.0.4 1CD(负荷频率分析软件)

通用有源滤波器uaf42配套设计软件FILTER v4.2

 

enali产品:

Denali.Memory.Modeler.v2.9.24.WINNT 1CD(存储器模型程序)

Denali.PureSuite.v3.2.069.Linux32 1CD

Denali.PureSuite.v3.2.069.Linux64 1CD

Denali.PureSuite.v3.2.055.Linux.IA64 1CD

 

Accelerated Designs产品:

Accelerated.Designs.UltraLibrarian.Gold.v5.3.409 1CD(旗舰Librarian(程序库)工具软件)

 

PCB Matrix产品:

PCBM LP Provisional v2009.20.00 1CD(原理图和PCB设计库的自动化生成EDA工具)

PCBM SymbolWizard Provisional v2.46.03 1CD

PCBM SYMWIZ v2.46.03 1CD

 

美国IXIA产品:

Ixhariot v6.70 1CD(独特的测试工具)

 

Scalable Networks Technologies产品:

EXata v5.3 Win64 1CD(无线通信网络仿真软件)

 

加拿大曼尼托巴HVDC研究中心产品:

ARM DS-5 Development Studio 5.27.0 Win64 1DVD

ARM DS-5 Development Studio 5.27.0 Linux64 1DVD

ARM Developer Suite 1.2 1CD

ARM DS5 with RVCT v5.7-ISO 1CD

ARM.Firmware.Suite.v1.4-ISO 1CD

ARM.RealView.Developer.Suite(RVDS).v4.1-ISO 1CD(ARM集成开发工具)

ARM.RealView.Developer.Suite(RVDS).v4.0 Linux-ISO 1DVD

ARM SOC Designer v7.1 Linux 1CD

ARM Software Development Toolkit v 2.51 1CD

Arm & Mips 源代码

ARM结构和编程(中文书)

 

2D simpler算法源程序

3D simpler算法源程序

Abacom sPlan 7.0 1CD

Actix.Analyzer.v5.5.349.850.Win64 1CD

Actix.Analyzer.v5.5.338.385.Win32_64 2CD(无线电数据后处理软件)

Atoll v2.8 1CD(无线规划软件)

Apsim 2003 1CD

AutoVue.Electro-Mechanical.Pro.v20.2.1.Win32 1CD(电子与机械工业文档查看、分析软件。内含强大的解决方案,并支持标准的问题跟踪系统)

AutoVue.SolidModel.Pro.v19.0.CHS 1CD

Bluespec.v2009.10B.Linux 1DVD

Bmp2Pcb v2.05 1CD(图形界面的BMP转PCB软件)

Bruker Topspin v3.0 1DVD(核磁共振处理软件)

Cadint PCB v4.03 1CD

Chipsmith v3.8.1 1CD

CircuitMaker 2000 1CD(仿真继电器的软件)

Circuit.Shop.v2.03.WinALL 1CD

Circuit Wizard Education 1.5 1CD

Cohesion AMS Designer v6.0 1CD

Cohesion Design Systems v5.11 1CD

Concept.Tools.v5.4 Winows & Linux 2CD

CopperCAM v25032016 1CD

CopperCAM v2010.01.26 1CD(专业PCB雕刻软件)

Control.Station.Loop-Pro.Tuner.v1.9.5879.20182 1CD(调谐器)

Crocodile Technology 3D v607 1CD

CSiEDA v5.7.2 1CD(先进的电路设计软件)

Dolphins.Volts.v6.10 1CD

                      

IMST.EMPIRE.XCcel.v6.00-ISO 1CD(采用FDTD的全三维高频电磁场仿真软件包)

 

MagCAD.v2.3.4.WinALL 1CD(简单实惠的磁场空间分布计算软件。这些磁场可以是由永久磁铁或者非线性线圈形成的区域)

 

E-Tools.E-Studio.Pro.v4.42.029a 1CD

Elanix SystemView v2006 1CD(信号处理系统模拟与分析工具)

Elcut 4.1 1CD

Electra Autorouter v2.7 1CD

EMIT.Maxwell.v5.9.1.20293 1CD(电磁物理学处理分析解决方案)

EMSight v1.54 1CD(电磁仿真器,可以分析高频,射频和微波以及天线电路的特性。 EMSight还可以分析具有无限多层介质片,

                   无限多个端口,并且介质层之间有互连的过条的任意平面电路)

Edison v4.0 1CD(中、英文破解版,电子实验室)

EndNote X 7.2 Windows 1CD

Engineering.Power.Tools.v2.0.5 1CD

ETS4 version 4.0.6 Professional 1CD

ETS3 Version 3.0e Professional 1CD

EWARM-EV v3.40A 1CD

Wade.Instruments.EZ.Schematics.v2.1.17 1CD(电气图纸设计软件)

FAISYN v2.2 1CD(一个滤波器设计软件)

FEMM v4.2 1CD

Furret.PCB.v2.4 1CD

Forte Cynthesizer v3.60 Linux 1CD

Genesis Frontline v7.1 PCB Designer 1CD

Gemalto Developer Suite v3.4.2 1CD(无线通讯开发软件)

GT Works/GT Designer v3 1.40S-ISO 2CD(触摸屏编程软件)

MELSEC GT-Works3 v1.37P-ISO 1DVD

HDL Turbo Writer 6.0e 1CD(VHDL/verilog专用编辑器)

Hamic.v2.0.WinAL 1CD(电路计算器,可以计算电路的电阻,电压等)

Integrand EMX v5.4 Linux64 1CD

Integrand EMX v4.8 Linux64 1CD(电磁仿真软件)

IPC7351 LP Eval v4.20 1CD

IUE soft Minimos v6.1 Win32 1CD

IUE soft MinimosNT v2.1 SUSE32 1CD

IX1D.v3.35 1CD(一款1维直流(DC)电阻,诱发多倍性(IP),磁电的(MT)和电磁的地质探测重建软件)

Konekt.Electra.v6.44 1CD(新一代用于PC板的基于形状的自动布线自动布线软件)

KwickFit v5.2 1CD

LAVENIR v2001 1CD

Lattix.LDM.v5.0.5 1CD

Micrium µC/Probe v2.5 Build3891 1CD

Monitouch V-SFT 2009 v5.4 1DVD(触摸屏编辑软件)

MotorSolve v4.11 & MagNet v7.5 & ThermNet v7.5 & ElecNet v7.5 & OptiNet v7.5 5CD

NanDigits Gates On the Fly (GOF) 5.5.4 Linux32_64 2CD(集成电路设计领域做数字电路ECO的EDA软件)

Omninet v6.07 for Windows PCB转SCH软件(即PCB转为原理图)

PC|SCHEMATIC Automation 14.02 1CD

Pro-face GP-Pro EX 4.08.100 1DVD

Pro-face GP-Pro EX v4.03 1DVD(画面和逻辑编程软件)

Proton Development Suite v3.5.2.7 1CD

Portunus.v5.2 1CD(一款从电子驱动到旋转电机到大型负载的完全机电系统专业仿真软件)

Ranorex Studio v5.0.3.18203 1CD(自动化测试工具)

Radix 4 FFT verilog代码 c代码及相应uvm验证平台 1CD

PSCR高压电磁设计软件 for WinXP 1CD

RCP.Developer.v5.0.0 1CD

RealPic Simulator v1.3.0.0 1CD

SignalLab SIGVIEW 3.2.0 1CD(实时和离线信号分析软件)

Smith Chart v4.0 1CD(史密斯原图工具)

UC Gui v3.26 1CD

 

V-ELEQ 电气仿真系统1.10 1CD

V-ELEQ使用说明书 1CD

V-ELEQ视频演示 1CD

 

ParCAM v8.0c 1CD

ParCAM v7.26d 操作手冊

 

PC-Lint v9.0 1CD

PCB Investigator 3.41 1CD

PCB Wizard Pro v3.50 1CD

Power v4.5.6 R7 1CD

PowerLogic v1.1 1CD

ProfiCAD v8.5.2 Portable 1CD

ProfiCAD.v8.4.1.Multilingual 1CD(电气原理图创建CAD工具)

Plexim.Plecs.Standalone.v4.1.2.for.Win32_64 2CD

Plexim.Plecs.Standalone.v3.7.5.for.Linux32_64 2CD

Plexim.Plecs.Standalone.v3.7.5.for.MacOSX 1CD

Plexim.PLECS.Standalone.&.Blockset.v3.6.1.Win32_64 4CD(独立的时域仿真的电力电子系统软件)

Pioneer.Hill.Software.SpectraPLUS.v5.0.26.0E 1CD(频谱管理软件系统,为各种无线通信的规划和管理提供了专业的工具)

Docklight Scripting v1.9 1CD(通过COM,TCP和UDP串行通信协议的自动化测试工具)

MyCAD MyAnal v6.3 1CD(模拟电路设计工具)

MyCAD MyChip 2005 1CD(Layout设计工具)

MyCAD.MyLogic.Station v5.1 1CD (电路图Editor,逻辑Simulator仿真工具)

MyCAD.MyVHDL.v5.1 1CD (VHDLSimulator 仿真工具)

Specctra ShapeBased Automation Software v15.1-ISO 1CD(基于层对交互/自动布线的功能)

OVPsim v20120614.0 1CD

PSC Design Kit 3.3 Linux 1CD

PCB Router Specctra v16.2 1CD

Pspice v9.2 1CD

Pspice 使用指南(中文)

NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)

Number One Systems Easy-PC PCB v16.09.0 Full 1CD

Rimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件)

 

See Electrical 7 R2 B11 1CD(电气设计软件)

See Electrical V5r1 5.1 Win32_64 1CD

Sidelinesoft NL5 Circuit Simulator 2.2.2 1CD

Slickedit 2012 v17.0 Win32_64 2CD

Slickedit 2012 v17.0 Linux32_64 2CD

Slickedit 2012 v17.0 MacOSX1CD

SourceBoost IDE v7.02 1CD

Spice Vision v2.1 for WinALL 1CD(一个很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

Spice Vision v2.1 for LINUX 1CD

Spyglss v4.2 Linux32 1CD

Spyglss v4.2 Linux64 1CD

 

Timegen v3.2 Pro 1CD

TinyCAD v2.80.00.396 1CD

DesignSoft Tina v9.3.50 Industrial 1CD

Tina Pro v9.3.50 1CD

Tina Pro v6.0 中文版

Tina.Industrial.Pro.v8.0.with Manual 1CD(英文版)

TINA.Pro 电子线路模拟仿真软件官方教程

 

Vivado and ISE Design Suites 2012.2 v14.2 1DVD

Xilinx.AccelDSP.v9.1 1CD

Xilinx DSP Tools v9.2.01.1028 1CD

Xilinx.ChipScope.Pro.v10.1.Windows.32bit 1CD(用于Xilinx FPGA的先进的实时调试和验证工具)

Xilinx.ChipScope.Pro.v9.2i.Windows.64bit 1CD

Xilinx.ChipScope.Pro.v9.2i.Linux.32bit 1CD

Xilinx.ChipScope.Pro.v9.2i.Linux.64bit 1CD

Xilinx EDK v9.2.01i 1CD

Xilinx EDK 9.2i WinALL-ISO 1DVD(嵌入式开发套件(EDK)是用于设计嵌入式可编程系统的全面的解决方案)

Xilinx Foundation 4.1i-ISO 1CD

Xilinx Syetem Generator v8.2.01 1CD

Xilinx System Generaror v3.1 1CD

Xilinx 中文教程

Xilinx.ISE.Design.Suite.v14.6.WinALL-ISO 1DVD

Xilinx.ISE.Design.Suite.v14.6.Linux-ISO 1DVD

Xilinx.ISE.v7.1i.Spartan2.VirtexE.Devices 1CD

Xilinx.ISE.v7.1i.Spartan3E.Virtex3E.Devices 1CD

Xilinx.ISE.v7.1i.Linux 1CD

Xilinx.ISE.v7.1i.Linux.X64 1CD

Xilinx.Embedded.Development.Kit.v6.3i 1CD

Xilinx.Embedded.Development.Kit.v6.3i.Addon 1CD(帮助文件)

Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.Incl.Sp2.For.Win32.PROPER-ISO 1CD

Xilinx.Embedded.Development.Kit.and.XPS.Ver7.1.For.Linux-ISO 1CD

Xilinx.PlanAhead.Design.Analysis.Tool.v10.1-ISO 1CD(通过简化综合与布局布线间的步骤, 能使用户在设计中实现最高性能并极大的减少设计时间)

Xilinx.PlanAhead.v9.2.7.Linux 1CD

Xilinx.PlanAhead.v9.2.7.Solaris 1CD

Xilinx.TMRTool 9.2i 1CD

Xilinx.Vivado.Design.Suite.2015.2-ISO 1DVD

Xilinx.Vivado.Design.Suite.2014.4-ISO 1DVD

Xilinx.Vivado.Design.Suite.2014.4.Linux-ISO 1DVD

 

Cosmic.Software.Suite.v10.2008-ISO 1CD(嵌入式系统开发工具)

COSMIC.68332.Compiler.IDEA.and.ZAP.Sim v2.9p 1CD

COSMIC.ST7.Compiler.IDEA.and.ZAP.Sim.v4.5b 1CD(嵌入式系统开发工具包)

CosMIC STM8 16K C Compiler v4.2.8 1CD

Compilerfor STMicroelectronics STM8 Cosmic CxSTM8 4.2.8 1CD

mikroBasic.for.dsPIC30-33.and.PIC24.v6.0.0.0 1CD

MikroElektronika.MikroBasic.For.PIC.v7.0.0.2 1CD(全能且独立的PIC单片机编译器)

MikroBasic Pro for AVR 2009 v1.5 1CD

MikroC Pro for AVR 2008 v1.35 1CD

MikroPascal Pro for AVR v2.10 1CD

MikroBasic Pro PIC 2009 v2.15 1CD

MikroC Pro PIC v5.4 1CD

MikroPascal Pro PIC 2009 v2.15 1CD

 

Oshonsoft.8085.Simulator.IDE.v3.21 1CD

Oshonsoft.AVR.Simulator.IDE.v2.36 1CD

Oshonsoft.Function.Grapher.v1.20 1CD

Oshonsoft.PIC10 Simulator IDE 2.17 1CD

Oshonsoft.PIC10F.Simulator.IDE.v1.40 1CD

Oshonsoft.PIC16 Simulator IDE 1.17 1CD

Oshonsoft.PIC18.Simulator.IDE.v3.17 1CD

Oshonsoft.PIC.Simulator.IDE.v7.17 1CD

Oshonsoft.Z80.Simulator.IDE.v10.21 1CD

 

AFT Impulse 3.0 1CD

DSP.Robotics.FlowStone.Professional.v1.1.2 1CD

Eagleware Genesys 2004.07 Final 1CD(世界领先的射频微波设计软件)

EagleWare Genesys v2003.03 SP3 1CD

EPLAN API 2.7.3.11418 Win64 1CD

Eplan Cabinet v2.0.5.4291 MultiLanguage-ISO 1CD

Eplan Electric P8 2.7.3.11418 Multilanguage Win64 1DVD

Eplan Electric P8 2.4 Multilanguage.Win32_64-ISO 1DVD(电气工程项目设计和管理)

EPLAN Harness proD Studio 2.5.0.40 Win64 1DVD(最新版线束设计软件)

EPLAN P8 EEC One 2.6 1CD

EPLAN Fluid v2.7.3.11418 Win64 1DVD

EPLAN Fluid Hose Configurator 2.7 Win64 1CD

Eplan P8 Fluid 2.6 1DVD

Eplan.P8.Fluid.v2.4.4.8366 Win32_64 1DVD(面向流体的专业设计软件)

Eplan P8 Pro Panel 2.6 Win32_64-ISO 1DVD(高性能的3D安装布局软件)

EPLAN PPE v2.6 Build 10395 Win64 1DVD

Eplan P8 PPE v2.4.4.8366 Win32_64 1DVD

EPLAN.Platform.v2.4.Hotfix.2.Win32_64 2CD

Eplan.Professional.v5.5-ISO 1CD(电子工程软件)

 

HyperLynx Simulation Software v4.66 1CD (PCB仿真软件)

HyperLynx Simulation Software v5.01 Update 1CD

 

MetaWare.Arm.v4.5A .Working 1CD

Merco.PCB.Elegance.v2.5 1CD

Metapod.PCB.v2.4 1CD

MikroElektronika.MikroC.for.dsPIC.30-33.and.PIC.24.v4.0.0.0 1CD

 

MikroElektronika.Compilers.and.Software.Tools.2017.09 21CD

included:

mikroBasic.PRO.for.8051.2017.v3.6.0

mikroBasic.PRO.for.ARM.2017.v5.1.0

mikroBasic.PRO.for.AVR.2017.v7.0.1

mikroBasic.PRO.for.dsPIC.2017.v7.0.1

mikroBasic.PRO.for.ft90x.2017.v2.2.1

mikroBasic.PRO.for.PIC.2017.v7.1.0

mikroBasic.PRO.for.PIC32.2017.v4.0.0

mikroC.PRO.for.8051.2017.v3.6.0

mikroC.PRO.for.ARM.2017.v5.1.0

mikroC.PRO.for.AVR.2017.v7.0.1

mikroC.PRO.for.dsPIC.2017.v7.0.1

mikroC.PRO.for.ft90x.2017.v2.2.1

mikroC.PRO.for.PIC.2017.v7.1.0

mikroC.PRO.for.PIC32.2017.v4.0.0

mikroPascal.PRO.for.8051.2017.v3.6.0

mikroPascal.PRO.for.ARM.2017.v5.1.0

mikroPascal.PRO.for.AVR.2017.v7.0.1

mikroPascal.PRO.for.dsPIC.2017.v7.0.1

mikroPascal.PRO.for.ft90x.2017.v2.2.1

mikroPascal.PRO.for.PIC.2017.v7.1.0

mikroPascal.PRO.for.PIC32.2017.v4.0.0

 

 

Modelsim Xilinx Edition II V5.7C 1CD

Nassda.Hsim.v2.0.DateCode.01222003 1CD

NI.Circuit.Design.Suite.Power.Pro.v11.0.1 1CD

NI.Circuit.Design.Suite.Pro.v11.0 1CD(NI电路设计套件 电路图捕捉, SPICE仿真和PCB布局)

PathLoss.v5.0 1CD(为频率在 30 MHz to 100 GHz之间的无线电通讯的通道设计工具)

PeakVHDL Pro v4.21a 1CD(一个VHDL通用仿真器)

 

PicBasic Pro v2.46 1CD

Picbasic Pro Compiler v2.42 1CD

PicBasic Plus v1.10 1CD

 

Advanced PCB Design System v2.5 1CD

Impulse CoDeveloper 3.70.d.11 (C-to-FPGA) Windows 1CD

Impulse CoDeveloper 3.70.d.11 (C-to-FPGA) Linux 1CD

Impulse.CoDeveloper.Universal.Pro.v3.60.a.2 1CD(用于开发FPGAs和基于FPGA的高性能电脑系统的一款C语言开发系统)

Impulse.CoDeveloper.Universal.v3.50.b.2.Linux 1CD

Imperas Open Virtual Platforms (OVP) 2011.09.06.3 1CD

LinkCAD v5.7.0 1CD

Pulsonix.Advanced.Electronics.Design.System.v2.0(PCB电路板设计工具)

RSI BOM Explorer v6.7.017 1CD

 

Symphony.EDA.VHDL.Simili.Sonata.Professional.v3.1.13 1CD

SymphonyEDA.VHDL.Simili.v2.0 1CD

Simplis v4.2 1CD

Sigrity SpeedXP Suite v12.0.2 Win32-ISO 1CD

Sigrity SpeedXP Suite v11.0 Win64-ISO 1CD

Sigrity OptimizePI v2.0.11.10-ISO 1CD

Sigrity SpeedPKG v3.0-ISO 1CD

Sigrity UPD Viewer 1CD

Sigrity XcitePI v5.0 Win32-ISO 1CD

Systat.PeakFit.v4.11.WinAll 1CD(处理信号噪声的软件,可以自动分离和分析信号)  

 

Texas.Instruments.OMAP.v2.ISO 1CD

TimeGen 3.1 Pro 1CD

Timing.Designer.Professional.v5.303.WINNT2K 1CD

Trolltech Qt Commercial v4.4.3 WinALL & Linux & MacOSX 1DVD

 

MULTSIM v10.1(中文版,电路设计套件 电路图捕捉, SPICE仿真和PCB布局)

Ultiboard 2001 1CD(multsim2001的PCB工具)

ULTImate Technology Ultiboard v5.72-ISO 1CD

VeriTools.Undertow.v9.0.DateCode.20020408 1CD

VIRTINS Multi-Instrument v3.2 1CD(虚拟示波器软件)

WinELSO v6.2-ISO 1CD

Workview Office v7.5 1CD

X-HDL 4.2.1 Win32 1CD

XmanagerEnterprise v4.0.0185 1CD

 

Zuken E3.series 2017 v18.10 Win32_64 2DVD

Zuken.E3.Series.v2009-ISO 1DVD

Zeland IE3D v15.0 1CD(时域有限差分全三维电磁场仿真软件包)

Zeland IE3D and Fidelity v9.0

      

Electronics Workbench产品:

Electronics.Workbench.Ultiboard.v9.0.155 1CD(印刷电路板设计工具)

Electronics.Workbench.Ultiroute.for.Ultiboard.v9 1CD(轻松的完成大规模继集成电路,多层PCB板及类似于

                                                      BGA封装模式的多针脚电子元件的设计)

Electronics.Packaging.Designer.v7.2 1CD(设计复杂的电路板,有多种软件命令,可以使用EPD创建组建放置于你的设计中)

 

Multisim v11.0 1CD(电子电路设计、信号分析)

Multisim v10.1-ISO 1CD(中文汉化版)

Multisim 2001增强专业版汉化文件

Multisim 2001简明教程(中文)

 

EMSS产品:

Altair HyperWorks FEKO v14.0.410 Win64 & Linux64 2DVD

Altair FEKO v14.0.Win64.&.Linux64 2DVD

EMSS FEKO Altair HWU 7.0.2 Win64 & Linux64 2DVD(基于矩量法的全波通用电磁分析软件)

FEKO v7.0.0 Win32_64 2CD(复杂形状三维物体的电磁场分析软件)

FEKO v6.3 Win64 1CD

FEKO 5.2 中文手册

FEKO 视频教学

 

Zeland.Product.Suite.v12 1CD(平面和三维电磁场仿真与优化软件包)

SuperSpice产品:

SuperSpice v2.2.147 1CD(一款全自动的SPICE软件 )

Polar Instruments 2011 v11.04 4CD(包括Si8000 2009 v10.01,Si9000 2011 v11_04,Speedstack 2009 v10.01和Coupon Generator 10.01)

Polar Instruments Si9000 PCB Transmission Line Field Solver 1CD

Polar SB200a Professional v6.0 1CD(印刷电路板设计、测试系统)

Polar.SI9000E.Field.Solver.v6.00 1CD(印刷电路板阻抗计算与设计工具)

Polar.Si8000.2009.v10.01.Windows 1CD

Polar Instruments 2011 v11.04

Polar.Instruments.SI8000.v6.1.0.WinALL 1CD(印刷电路板阻抗计算与设计工具)

Polar.Instruments.SI9000.v7.10.WinALL 1CD(新的Si9000传输线场解决方案整合了快速精确的无损失和独立于频率的PCB传输线建模)

Polar Speedstack 2009 v10.01 1CD

 

QCAD v29.0 Win9xNT 1CD (全面的电路设计软件,它包括电路图以及PCB(印刷电路板)模块,

              电路图模块支持简单层次,复杂层次)

TrepCAD St v3.3.1 1CD

 

CAM Expert v2.0.4.8 1CD(QCAD的扩展工具。可以自动生成G-Code, HP/GL以及其它格式)

 

CAMCAD & Translator v4.3.39 1CD

RSI CAMCAD Pro v4.5.1003 1CD(CAD/CAM辅助软件,用来进行数据的预处理以及PCB板的设计)

 

Ariel.Performance.Analysis.System.v2002.Rev1 1CD

Circuit.Shop.v2.03.WinALL 1CD(图形化CAD电路设计工具)

FpgaExpress v3.5.1 Altera Oem 1CD

Holophase.CirCAD.v4.20e(较简单的电路图设计软件)

Microsim Design Center v5.1 1CD

SB200.StackUp.Builder.v6.2 1CD(用于快速建立电路板层的专业工具)

SB200.StackUp.Viewer.v6.2 1CD

Pulsonix 8.5 Build 5905 1CD(电子电路设计软件)

WinQcad v31.0 Win9x_NT 1CD(一款完善的电子线路设计系统,拥有图式控制平台与PCB(印刷电路板)设计两大模块,二者可单独或合并使用)

 

抄板软件4.2版 1CD

色环电阻计算软件01 1CD

世界三极管查询系统 V1.5 1CD

 

ALTERA产品:

Altera Quartus Prime Standard Edition 16.1 Update 2 + MegaCore IP 16.1.2.203 Win64 2DVD

Altera.Quartus.Prime.17.0.Win64 1DVD

Altera Quartus Prime 17.0 Linux 1DVD

Altera Quartus Prime v15.1 With Update2 Win64 & Linux64 2DVD

Altera Quartus.II.v15.0.Win64 1DVD

Altera Quartus II version 15.0 Update 2 Only Win64 & Linux64 2DVD

Altera Quartus II v14.1 Windows & Linux 2DVD

Altera Quartus II v14.1 Update1 Only Win64 1DVD

Altera.QUARTUS.II.v13.1.0.162.Full.Working.Win32_64 1DVD(数字系统设计,是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具)

Altera.Quartus.II.Help.v13.1.0.162.Win32_64 1CD

Altera.Quartus.II.AOCL.v13.1.0.162.Win32_64 1CD(Altera版本的Opencl开发包,用C语言开发FPGA)

Altera.QUARTUS.II.DSP.Builder.v13.1.0.162.Win32_64 1CD

Altera.Quartus.II.ModelSim.v13.1.0.162.Win32_64 1DVD

Altera.Quartus.II.SoC.Embedded.Design.Suite(EDS).v13.1.0.162.Win32_64 1DVD(Altera推出的开发SOC的工具(就是集成ARM Cortex-A9的FPGA),

                                           里面集成了全世界最厉害的ARM开发工具DS-5(从ARM公司定制的))

Altera Max Plus II 10.2 1CD

Altera.QUARTUS.II.v13.1.0.162.Full.Working.Linux32_64 1DVD

Altera.Quartus.II.Help.v13.1.0.162.Linux32_64 1CD

Altera.Quartus.II.AOCL.v13.1.0.162.Linux32_64 1CD

Altera.QUARTUS.II.DSPBuilder.v13.1.0.162.Linux32_64 1CD

Altera.Quartus.II.ModelSim.v13.1.0.162.Linux32_64 1DVD

Altera.Quartus.II.SoCEDS.v13.1.0.162.Linux32_64 1DVD

Altera.Quartus.II.Arria.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Arriav.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.Quartus.II.Arriavgz.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.Quartus.II.Cyclone.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Cyclonev.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.Quartus.II.Max.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Stratixiv.v13.1.0.162.WinALL.&.LinuxALL 1CD(器件库文件)

Altera.Quartus.II.Stratixv.v13.1.0.162.WinALL.&.LinuxALL 1DVD(器件库文件)

Altera.QUARTUS.II.Nios.II.Embredded.Suite.v9.0.Windows-ISO(嵌入式开发)

Altera.QUARTUS.II.DSP.Bulider.v9.0-ISO 1CD

Altera.QUARTUS.II.v8.0.Modelsim.v6.1g-ISO 1CD

Altera.QUARTUS.II.Megacore.IP.Library.v7.2.SP3.Full.Working-ISO 1CD

Altera.Quartus.II.v5.0.Linux64-ISO 2CD

Altera.ModelSim.v10.3d 1DVD

 

Coventor产品:

CoventorWare 2015 v10.000 1CD(MEMS系统设计、制造和模拟软件)

CoventorWare v2012.0 1DVD

CoventorWare v2004 1CD

Coventorware MEMS+ 2.1 Win32 1CD

 

juspertor UG产品:

L-Editor v8.22 for Win32 1CD

LayoutEditor.v2009.10.14 1CD(设计和编辑的MEMS布局/集成电路制造软件)

LayoutEditor.v2009.10.14 Linux 1CD

 

Visionics产品

Visionics.EDWinXP.Professional.v1.80 1CD(电路设计工具,可以用来进行PCB的布局设计和编辑)

 

CADSOFT产品:

Autodesk EAGLE Premium 9.2.0 Win64 1CD(设计印刷电路板(PCB)的软件)

Autodesk.EAGLE.v8.3.1.MacOSX 1CD

CadSoft Eagle Professional v7.7.0 1CD

CadSoft Eagle Professional 7.7.0 Portable 1CD

CadSoft.Eagle.Professional.v7.6.0.Multilingual.Win32_64 2CD

CadSoft.Eagle.Professional.v7.5.0.Multilingual.Linux32_64 2CD

CadSoft.Eagle.Professional.v7.5.0.Multilingual.MacOSX32_64 2CD  

Accel-EDA v15.0 1CD

AdLabPlus.v3.1 1CD(电气工程软件,高级电机工程实验室。拥有20多个特性工具专为设计网络、传输线、变压器等设计)

Adlab.v2.31.WIN9X_NT_2K 1CD(电气工程软件,拥有20多个特性工具专为设计网络、传输线、变压器等设计)

B2.Spice.AD.Professional.v5.1.8 1CD(多模式模拟的电子自动化设计软件,纯数字模拟,快速精确,拥有功能强大的使用界面)

 

Comsystems.Integra.EDA.Tools.v4.0.SE.Pro 1CD(简体中文电路软件)

CSmith.v2.7(一个有许多高级功能的电机工程的制图软件,它包括:内含电气元件,S-参数块和和可利用的晶体元件,

            易于修改、布局、载入及保存电路图,输出S-参数块及完整的电路图等等)

DipTrace 3.1 with 3D Library Win32_64 2CD+1DVD(是一套完整的印刷电路板设计系统)

EAGLE.PCB.Power.Tools.v5.06 1CD

Eagle.PCB.v4.09R2-ISO 1CD

MemsCap.Mems.Pro.v4.0-ISO 1CD(微机电系统软件,包括编辑、设计规则校验、块放置和布线、3维模型生成和3维可视化)

Meta Post v3.3.1(带有ANSYS和METApsot的LS-DYNA的前后处理器)

 

MAX+PLUS II v10.2 完全版 1CD(英文版 ·专业数字集成电路设计软件最新版)

MAX+PLUS II v10.23 最新升级补丁

MAX+PLUS II 开发工具包

MAX+PLUS II 中文快速入门

MAX Plus II 教程

 

PSoC.Designer.Incl.C.Compiler.v4.0  1CD(高效能、可现场编程的混合讯号数组,针对消费性、工业、办公室

                                        自动化、电信、以及汽车等应用领域提供可量产的嵌入型控制功能)

干式变压器计算程序v2.3

 

Unsorted产品:

Modbus OPC Server v2.7 1CD

ModScan 32 v4.A00-04 1CD

ModSim 32 v4.A00-04 1CD

 

加拿大SES公司产品:

CDEGS.2000.v9.4.3-ISO 1CD(电力系统接地分析软件)

 

美国PTI公司产品:

PSS/ADEPT v5.0 1CD

PSS E v33.40 1CD(大型电力系统仿真计算软件)

PSS/E v32.03-ISO 1CD

PSS/E v31.0-ISO 1CD

PSS E v31.20 Update Only 1CD

PSS Viper v3.0.4 1CD(电力工程的可视的仿真器)

PSS/E 中文操作手册

PSS/E 入门视频教程

 

OMICRON产品:

OMICRON IEDScout v4.10 Win32_64 2CD

 

加拿大马尼托巴产品:

PSCAD v4.5 Win32_64-ISO 1CD(一个快速、灵活和准确的电器设备和电力系统的电磁暂态仿真专业软件)

PSCAD v4.25 Win32 1CD

PSCAD v4.21 Win32_64-ISO  1CD

PSCAD v4.20 用户手册(英文版)

 

PLS-CADD v13.2 FULL 1CD

PLS-CADD v12.3-ISO 1CD

PLS CADD v9.20 1CD(电力架线设计软件)

PLS.CADD.POLE.SAPS.Tower.v9.20.portable 1CD

ASPEN OneLiner v10.3 1CD

 

Electrocon International Inc.产品:

Electrocon International CAPE 2010-ISO 1CD(分析和数据管理电力传输网络的保护系统)

Eurostag v4.2 1CD(中长期稳定仿真软件)

 

CYME International产品:

CYME v7.1 R02 1CD

CYME CYMCAP v4.2 R3 1CD(电力电缆安装载流容量和温升计算的工具)

Cyme.Cymdist.v4.7.R6 1CD(对平衡或者不平衡的三相、两相、单相的电力系统进行分析的工具软件)

CYME.Cymgrd.v6.3.R7 1CD

Cyme.Cymtcc.v4.5.R8 1CD(电力系统保护装置协调进行分析与研究的工具软件)

Cyme.Psaf.v3.1.R1.11 1CD(整合性电力系统分析软体系列,它的基本架构系独立于模拟模组的

              型态与数量, 这些家族成员 ( 模拟模组 ) 都共用一个整合的电

              网设备资料库。 PSAF 可执行广泛的电力系统分析工作:如,电力

              潮流分析、紧急事故分析、电动机启动分析、短路故障分析、暂态

               稳定度分析、谐波分析)

电力系统潮流计算软件 matpower v5.1 1CD

 

CGI CYME产品:

AutoTRAX EDA v9.20 1CD(电子线路板布线设计软件,它提供了实施当今复杂的电子PCB设计应必备的所有高级工具)

CCS 2.2 for C6000-ISO 1CD

CCS for PIC 3.227 1CD

CirMaker v6.2C 1CD(继电器设计软件)

Edsa Technical 2000 SP3.5 Rev1a-ISO 1CD(电力系统分析)

 

ABB PEL software PEL Suite release 20.6 1CD

Active Factory v9.1.000.0216 Multilingual-ISO 1CD

ATP-EMTP v11.4 1CD

ATPDRAW v5.7 for WinALL 1CD(电力系统电磁暂态仿真软件)

DIgSILENT Power Factory 2016 SP3 Win32_64 2CD

DIgSILENT PowerFactory v15.1.7 Win32 1CD

ICS.Triplex.ISaGRAF.v5.13.309 1CD(自动化和控制软件)

Industrial SQL Server v9.0.000.0341 Multilingual-ISO 1CD

InTouch v10.1-ISO 1CD(过程可视化软件)

ViDEC.MelSYS.v4.0.SP1.MultiLanguage-ISO 1CD(信息干扰调节系统)

Magnetics Designer v4.1 Build 252 1CD(变压器设计软件)

MyBPA 1.0  1CD

Antenna Magus Professional 2018 v8.0.0 Win64 1DVD

Antenna Magus Professional 2017.3 v7.3.0 1DVD

Antenna Magus Professional 2017.2 v7.20 1DVD

Antenna Magus v5.1.0 Pro 1CD(天线设计)

Antenna Magus Pro 5.2 Update Only 1CD

Antenna Magus 5.3.0 Pro Update 1CD

Antenna Magus Pro v5.5 Update Only 1CD(need 5.30 installed)

Neplan v5.5.8 1CD

Neplan v5.55.WinALL 1CD

Netlist.ECO.GOF.v4.0.Linux32_64 2CD

Scopview 2010b 1CD

SKM.PowerTools .v7.0.2.4 1CD

SKM.PowerTools .v7.0.2.4 Win8 64bit 1CD

Sonnet Suite Pro v15.52-ISO 1CD(三维高频电子设计)

Sonnet & Blink v15.54 Linux32_64 1CD

Sonnet Suite Pro v15.52 Linux 1CD

 

Super.FinSim.v10.0.03 1CD(高性能仿真器)

Super.Finsim.v10.0.03.Linux 1CD

Super.Finsim.v9.3.44.Linux.64Bit 1CD

Super.FinSim.v10.0.03.Solaris 1CD

Super.Finsim.v6.2.09.Solaris.64Bit 1CD

 

Spectrum.Micro-Cap.v11.0.2.0.Win32_64 1CD

Spectrum.Micro-Cap.v11.0.1.9.Full.Win32_64 1CD(集成模拟/数字电路的设计编辑、混合以及绘制草图和环境模拟的模拟软件)

SuperNEC v2.9-ISO 1CD(天线分析,基于MATLAB的输入、输出介面)

SynaptiCAD.Product.Suite.v20.24 1CD

SynaptiCAD.Product.Suite.v19.00c.Linux64 1CD

SynaptiCAD.Product.Suite.v17.01g.Linux 1CD

SynaptiCAD.AllProducts.v13.24a.SOLARIS 1CD

SynaptiCAD.v2v 1CD

SuperWORKS v7.0 完全破解版 1CD

SuperWORKS 网络版 R6.0  1CD(陕西利达电力电子有限责任公司以AutoCAD R14/2002为平台二次开发的专门用于电路图设计的软件)

 

Code Composer Studio(CCS) v6.0.1.00040.Win32 1CD

TI.C5000.Code.Composer.Studio.v2.0-ISO 1CD

TI.Code Composer Studio v5.21-ISO 1DVD(集成开发环境(IDE)白金版)

TI.Code.Composer.Studio.v2.2.for.C6X 1CD

TI.Msp430.KickStart.v3.01 1CD

TI-Nspire.Computer.Link.Software.MultiLanguage-ISO 2CD(芯片开发)

 

BPA 2006 1CD

DSA PowerTools v4.0-ISO 1CD

EMTPWorks v2.02 1CD

Gaia.v4.2.0.1.MultiLanguage.WinALL 1CD(应用于电力行业的,低压电网计算机辅助设计软件)

GENESIS32 v7.2 1CD(工控软件)

DAQFactory Pro v5.87a Build 1972 1CD(组态软件DAQFactory(数采工厂)是一个完整的系统解决方案,它包容了数据采集 过程控制和数据分析,

                      能从SerialRS232、OPC、DAQ、LabJack USB 设备、Modbus设备中采集数据, 并进行分析处理的软

                      件。用于自动化控制、科学研究、教育等场合)

Movicon v11.3 1CD(组态软件)

PCFLO v6.0 1CD(电力系统谐波仿真分析软件)

Power World Simulator v8.0 1CD

ScopeView v1.12 1CD

System Configurator (SyCon) Hilscher v2.9 Schneider Electric Win32-ISO 1CD

Vision.v5.7.3.1.MultiLanguage.WinALL(电力网络高级分析工具,用作输电、配电和工业电网的规划部署、设计和管理)

V-ELEQ 电气仿真软件 1CD

 

ABB公司的电力系统仿真分析软件SIMPOW 10.1 1CD

三相异步电机设计3.0 破解版 1CD

三相异步电机CAD系统 1CD

变压器设计大师2.0 1CD(破解版)

电力系统分析综合程序PSASP7.0-ISO 1CD

电力系统分析综合程序PSASP7.0 用户手册

混合发电系统模拟软件Hybrid2 v1.3e 1CD

开源增强型FreakZ 1CD

整流变压器功率计算软件 PowerCalc

组态王KingView v6.55 Win64 1CD

组态王6.51中文版-ISO 1CD(无限点破解版)

昆仑通态MCGS全中文标准版060310-ISO 1CD(6.2无限点破解版,包括通用版、网络5用户版)

昆仑通态MCGS初级教程、高级教程

力控教程(中文)

 

软件列表共8页,第8 

 

MENTOR GRAPHICS系列产品:

Mentor.Graphics.AMS.v13.1.ELDO.Win32 1CD

Mentor.Graphics.AMS.v2011.1 Win32_64 1CD(电路设计)

Mentor.Graphics.AMS.v2010.2a.Linux 1DVD

Mentor.Graphics.AMS.v2010.2a.Linux64 1DVD

Mentor.Graphics.Board.Station.XE.Flow(BSXE).2007.2-ISO 1DVD(電路板系統)

Mentor.Graphics.BST.v2004.Spac5.Linux.DVD-ISO 1DVD

Mentor.Graphics.Certe.Testbench.Studio.2011.3a.Linux 1CD

Mentor.Graphics.CodeSourcery.CodeBench.v2011.03.Win32 1CD

Mentor.Graphics.DesignAnalyst 2005.1 1CD

Mentor.Graphics.Design-For-Test(DFT)v9.2 Linux 1DVD

Mentor Graphics DFT Scan and ATPG Training student workbook(英文用户手册)

Mentor.Graphics.EXP.v2005.Spac1-ISO 1DVD(PCB系统设计)

Mentor.Graphics.ePD.2004.Spac2 1CD(电子元器件设计定义与设计管理软件)

Mentor.Graphics.EN.2004.Spac4 1CD(专业的电路设计与分析软件)

Mentor.Graphics.IC.Flow.v2008.2a Linux-ISO 1CD(电路图生成版图工具)

Mentor.Graphics.ICX.TAU.2004.Spac2 1CD(集成电路设计软件)

Mentor.Graphics.IO.Designer.v7.4 1CD

Mentor.Graphics.IND.v2006-ISO 1DVD(完整的PCB设计解决方案,包含具有强大功能的布局和模拟工具的概略图定义)

Mentor.Graphics.QE.2004.Spac2 1CD

Mentor.Graphics.Questa.VIP.v10.6.Windows.&.Linux 2CD

Mentor.Graphics.SDD.2004.Spac2 1CD

Mentor.Graphics.WG.2004.Spac2 1CD

Mentor.Graphics.ISD.2004.Spac4-ISO 3CD(电子集成开发系统)

Mentor.Graphics.Calibre.2017.1.Linux 1DVD

Mentor.Graphics.Calibre.2013.4.37.29.Linux 1DVD

Mentor.Graphics.Calibre.v2012.2.36.35.Linux 5DVD

Mentor.Graphics.Calibre.v2007.4.44.36.Solars 1CD

Mentor.Graphics.Calibre v2006 for SUN 1CD

Mentor.Graphics.Cam.Output.Manager.v2002.2r3 1CD

Mentor Graphics Capital 2015.1.162 Win64 1DVD

Mentor.Graphics.Capital.Capture.v2005.REPACK-ISO 1CD(原理图编辑工具)

Mentor Graphics Catapult C Synthesis v2011a.41 1CD(利用非定时的纯C++语言来产生高品质RTL描述的算法综合工具)

Mentor Graphics Catapult C Synthesis v2010a.198 Linux 1CD

Mentor Graphics Catapult HLS v10.1b Linux64 1DVD

Mentor.Graphics.Design.Capture.v7.9.5 Update 5.Full.Windows 2CD

Mentor.Graphics.Design.Capture.to.DxDesigner.v2007.3 1CD

Mentor Graphics Design Capture 2007.7 1CD

Mentor.Graphics.Discovery.Signalvision.V2002.2 1CD

Mentor.Graphics.DMS.v2005-ISO 1CD(工程师在设计的时候可以及时看到bom表的变化,以便以技术和商业的角度选择最经济的元件)

 

Mentor Graphics X-ENTP VX 1.2 Win64 3DVD

Mentor Graphics Expedition X-ENTP VX.1.1 Win32_64 4DVD

Mentor.Graphics.X-ENTPVX.v1.1.Update3.Win64 1DVD

Mentor Graphics Expedition X-ENTP VX.1 Win32_64 2DVD

Mentor Graphics Xpedition Enterprise VX.2.3 Win32_64 2DVD

Mentor Graphics Xpedition Enterprise VX.2.2 Win32_64 4DVD

Mentor Graphics Expedition Enterprise Flow(EE) VX.1 Win32 2DVD(The last version)

Mentor Graphics Expedition Enterprise Flow(EE) VX.1 Win64 2DVD

Mentor Graphics Expedition Enterprise Flow EE v7.9.5 + DMS v7.9.5 Win32_64 1DVD

Mentor Graphics Expedition Enterprise Flow(EE) v7.9.5 Win32 1DVD

Mentor Graphics Expedition Enterprise Flow(EE) v7.9.5.Update 11 Win32 2CD

Mentor Graphics EE 7.9.5 Update 23 Win32_64 2CD

Mentor Graphics Expedition Enterprise Flow(EE) v7.9.4 + DMS v7.9.4 Win32 1DVD

Mentor.Graphics Expedition 2005 SP3 & Capture 16.0-ISO 1CD

Mentor.Graphics.Edif200.Schematic.Interface.V2002.Spac2 1CD

Mentor Graphics Exemplar.Leonardo.Spectrum.v2002a 1CD

Mentor.Graphics.FloVENT.v10.1.Update1.Win32_64 2CD(建筑通风仿真软件)

Mentor.Graphics.FloVIZ.v10.1.Win32_64 2CD(独立的仿真结果动态后处理软件)

Mentor.Graphics.Flowmaster.v7.9.1 1DVD(热流体系统仿真软件)

Mentor.Graphics.Flowmaster.7.9.5.Update.Only 1CD

Mentor.Graphics.Flowmaster.7.9.4.Update.Only 1CD

Mentor.Graphics.FormalPro v2011.2.0 Linux 1CD

Mentor.Graphics.FormalPro v2008.2.0 Solars 1CD

Mentor.Graphics.FPGA.Advantage.v8.2-ISO 1CD(FPGA全流程设计工具)

Mentor.Graphics.FPGA.Advantage.For.Hdl.Design.v5.4 1CD

Mentor Graphics HDL Designer 2015.1b Win64 1CD

Mentor Graphics HDL Designer 2012.1 Win32 1CD(著名的HDL设计软件)

Mentor HDL Designer Series 2010.2a Linux 1CD  

Mentor.Graphics.HDS.v2013.1.Windows.&.Linux 2CD

Mentor Graphics HyperLynx SI/PI/Thermal v9.4.1 Win64 1DVD

Mentor.Graphics.HyperLynx.9.4.Win32_64 2DVD(高速电子线路模拟软件)

Mentor.Graphics.HyperLynx.v9.0.1.Win32_64.&.Linux32_64 4DVD   

Mentor Graphics Icx/TAU2004 SPac1 V3.4 1CD(集成电路设计软件)

Mentor.Graphics.IO.Designer.v2004.Spac2-ISO 1CD(可编程门阵列(FPGA)和印刷电路板的协作型芯片设计)

 

Mentor.Graphics.Leonardo.Spectrum.v2012b.Win32 1CD(一款HDL逻辑综合软件)

Mentor.Graphics.Leonardo.Spectrum.v2005a.82.Including.Update1 1CD(一款HDL逻辑综合软件)

Mentor.Graphics.LP.Wizard.v10.5.Win32 1CD(自动制作器件封装库)

Mentor.Graphics.ModelSIM.SE.v10.7c.Linux 1CD

Mentor.Graphics.ModelSIM.SE. v10.7b.Win32_64 & Linux32_64 2DVD

Mentor.Graphics.ModelSIM.SE.v10.6d.Win64 1DVD

Mentor.Graphics.ModelSIM.SE.v10.4.Win64 1CD

Mentor.Graphics.ModelSIM.SE.v10.2c.Win32_64-ISO 2CD

Mentor.Graphics.ModelSIM.SE.v10.2c.Linux32_64 1DVD(VHDL,Verilog和SystemC三种语言的模拟器。兼备高性能与工业高级调试能力)

Mentor.Graphics.ModelSim.SE-64.6.5f.Linux.i386.x86_64 2CD

Mentor.Graphics.Modelsim SE v6.1c Solars-ISO 1CD

Mentor.Graphics.Modelsim 培训手册 1CD

Mentor.Graphics.O-in v3.0 Linux 1DVD

Mentor Graphics Nucleus Source Code 2015.07 Lniux 1CD(Nucleus OS)

Mentor Graphics Olympus-SoC 2014.2 R2 Linux 1CD(布局布线系统)

Mentor Graphics Olympus-SoC v2009.04.R3 LinuxAMD64 1CD

Mentor Graphics PowerPCB v5.0.1 Build 037 1CD

Mentor Graphics PowerPCB and BlazeRouter 5.0 1CD(印刷电路板布线软件)

Mentor Graphics PowerLogic v5.0 Build 113 1CD

Mentor Graphics Precision Synthesis 2017.1 Linux64 1CD

Mentor Graphics Precision Synthesis v2015.2 Win64 1CD

Mentor Graphics Precision Synthesis v2014.10 Win32 1CD

Mentor Graphics Precision RTL 2013b Win32_64 2CD

Mentor.Graphics.Precision.Synthesis.RTL.Plus.2014b.Win64 1CD

Mentor.Graphics.Precision.RTL.Synthesis.v2012b.Win32 1CD

Mentor Graphics Precision RTL AE Synthesis v2008a 1CD(适应FPGA发展的新一代RTL综合器)

Mentor Graphics Precision Physical Synthesis 2010a.&.Update1.Win32 1CD(新一代FPGA综合技术,逻辑设计中出现的多个层次进行优化,

                                         通过精简逻辑层次,提升了电路性能,并且降低了功耗)

Mentor.Graphics.Pyxis.v10.2.2.Linux32_64 1CD

Mentor Graphics QE2004 SPac1 1CD(提供了可再使用、知识产权(IP)组成和体系认证解决方案,Mentor Graphics的产品和

                   服务有助于提高在通讯、计算机、半导体、航空航天、消费者和世界性运输公司的竞争能力)

Mentor Graphics Questa Ultra 10.7b Linux 2DVD

Mentor Graphics QuestaSim SE 10.4e Win32_64 2DVD(单核心验证引擎,内建硬件描述语言(HDL)仿真器、约束条件解算器(constraint

                            solver)、assertion引擎、功能涵盖率分析和一组共同的使用者界面)

Mentor.Graphics.Questasim.v10.6c.Reiease.2.Linux64 1DVD

Mentor.Graphics.QuestaSim.v10.4c.Linux32_64 1CD

Mentor.Graphics.Questa.Formal.v10.1c.Linux 1DVD

Mentor Graphics Renoir 99.5 1CD

Mentor Graphics SDD2004 SPac1 1CD(专业的电路设计与分析软件)

Mentor.Graphics.Seamless.CVE.v5.4.Linux 1CD

Mentor.Graphics.Seamless.FPGA.v5.4.3.0 1CD(用于检测现场可编程逻辑门阵列的软件)

Mentor Graphics Sourcery CodeBench 2014.05.46 Linux 1DVD

Mentor Graphics Sourcery CodeBench ARM 2014.11.96 Linux 1DVD

Mentor Graphics SystemVision 5.7 Update1.0 Win32 1CD

Mentor Graphics Tessent 10.7 Linux 3DVD

Mentor.Graphics.Tessent.2017.1.Linux 3CD

Mentor.Graphics.Tessent.2015.4.Linux 2DVD

Mentor Graphics Tanner L-Edit 2016.2 1CD

Mentor.Graphics.Variant.Manager.V2002.2r2 1CD

Mentor.Graphics.Vista.v3.5 Linux 1CD

Mentor Graphics VeriBest v2000 1CD

Mentor Graphics VeSys v2.0.2009.0b-ISO 1CD(电气/线束设计)

 

Mentor Graphics WG2004 1CD(专业的电路设计与分析软件)

Mentor Graphics WG2004 SPac1 1CD(专业的电路设计与分析软件)

Mentor Graphics WG2004 (用户手册)1CD

 

Mentor EN入门教程

Mentor EN原版教程

Mentor 原版教材扫描版

Mentor 2000中文教程

 

Mentor Graphics PADS Standard Plus VX.2.3 Win32 1DVD

Mentor Graphics PADS VX.2.2 Standard Plus 1DVD(最新版)

Mentor Graphics PADS Professional VX.2.1 1DVD

Mentor Graphics PADS VX.1.2 Win32 1DVD

Mentor Graphics PADS VX.1.2 Standard 1DVD

Mentor Graphics PADS PCB 9.5-ISO 1DVD

Mentor Graphics PADS 9.5 Update 2 Win32_64 2CD

PADS.PCB.2005.Build 7.1-ISO 1CD

PADS PCB Design Solutions 2004 Build 70.1 1CD

PADS Translator 2007.1 1CD

 

Mentor.Graphics.Flo-THERM-PCB-VENT-MCAD v11.3.Suite.Windows.&.Linux 2DVD

Mentor Graphics FloTHERM XT 3.2 Win64 1DVD

Mentor Graphics FloTHERM XT 3.1 Win64 1DVD

Mentor Graphics FloTHERM 12.1 Suite Win64 & Linux64 2DVD

Mentor Graphics FloTHERM v12.0 Suite Win64 & Linux64 2DVD+1CD

Content of suite

  FloTHERM 12.0 Linux64

  FloTHERM 12.0 Win64

  FloTHERM PCB 8.3.1 Win64

Mentor Graphics FloTHERM v11.2 Suite Win/Linux 5CD    Content of suite     FloTHERM 11.2 build 16.21.1 Win/Linux     FloVENT 11.2 build 16.21.1 Win     FloMCAD Bridge Catia V5 11.2 build 16.21.1 Win     FloTHERM PCB 8.2 Win

Mentor Graphics FloTHERM Suite v11.0 Win32_64 5CD(电子电器设备空气流和热传导分析的专用CFD软件)

included:

     FloTHERM 11.0 build 15.25.5 1CD

     FloVENT 11.0 build 15.25.5 1CD

     FloMCAD Bridge 11.0 build 15.25.5 1CD

     FloVIZ 11.0 build 15.25.5 1CD

     FloTHERM PCB 8.0 1CD

Mentor.Graphics.FloMCAD.Bridge.CATIAV5.Support.for.FloTHERM.v10.1.Win32_64 2CD

Mentor.Graphics.Flotherm.v10.0.Linux 1CD

Mentor.Graphics.FloTHERM.v10.1.Update1.Linux 1CD

Mentor Graphics FloTHERM 11.0 Update1 Only Linux64 1CD

Mentor.Graphics.FlothermPCB.v7.0-ISO 1CD(为优化印刷电路板热设计提供了一种跨专业的设计环境)

Mentor.Graphics.FloTHERM.PCB.v7.1.Update1.Win32 1CD

Flomerics MicroStripes v7.5 1CD(三维电磁仿真软件)

Flomerics Flo/EMC v5.1 1CD

Flomerics FloTherm v6.1 中文教程

 

Mentor Graphics FloEFD v17.3.0.4264 Suite Win64 3DVD+2CD

Mentor.Graphics.FloEFD.16.1.v37xx.Suite.Win64 5CD

Mentor Graphics FloEFD v16.1.0.3723 Suite Win64 1DVD+4CD    Languages supported: English, German, French, Chinese Simplified, Japanese, Russian    Content of Suite:    1. FloEFD 16.1.0.3723 Standalone (no CAD-system is needed)    2. FloEFD 16.1.0.3723 for CATIA V5     Supported CATIA V5 versions      CATIA V5 R19 (recommended SP9)      CATIA V5 R20 (recommended SP7)      CATIA V5 R21 (recommended SP6)      CATIA V5-R22 (recommended SP6)      CATIA V5-R23 (recommended SP6)      CATIA V5-R24 (recommended SP5)      CATIA V5-R25 (recommended SP2)      CATIA V5-R26 (recommended SP2)    3. FloEFD 16.1.0.3723 for PTC Creo     Supported PTC versions      Pro/ENGINEER Wildfire 4 (recommended datecode M220)      Pro/ENGINEER Wildfire 5 (recommended datecode M280)      Creo Parametric 1.0 (recommended datecode M050)      Creo Parametric 2.0 (recommended datecode M190)      Creo Parametric 3.0 (recommended datecode M080)    4. FloEFD 16.1.0.3723 for Siemens NX     Supported NX versions      Siemens NX 10.0.0-10.0.3      Siemens NX 9.0.1-9.0.3      Siemens NX 8.5.1-8.5.2      Siemens NX 8.0.1-8.0.3      Siemens NX 7.5.1-7.5.5

 5. FloEFD 16.1.0.3732 for Siemens Solid Edge

   Siemens Solid Edge ST6-ST9

 

Mentor.Graphics.FloEFD.15.0.3359.for.CATIAV5.Creo.NX.Win64.&.Linux64 2DVD

Mentor.Graphics.FloEFD.v13.2.Suite.for.Catia.v5.&.Creo.&.NX.Win64-ISO 3DVD

Mentor.Graphics.FloEFD.v13.0.2518.for.Creo.NX.CATIA.Win64-ISO 3CD

FloEFD FE v11.4 Win32_64-ISO 2DVD

EFD pro v8.2-ISO 1CD(流体和热仿真软件)

EFD.Pro.v8.2.Win64 1CD

EFD 官方中文教程(三个版本全)

 

ArtWork产品:

ArtWork.Conversion.ASM3500.v6.28 1CD(在AutoCAD的DXF和GDSII双向的转译)

ArtWork.Conversion.ASM600.v6.52 1CD(在GDSII流和Gerber之间双向转换的工具)

ArtWork.Conversion.ASM850.v3.12 1CD

ArtWork.Conversion.ATG-III.v1.05 1CD(通过ATG 将Gerber(电子业之间通用的资料格式)文件顺序生成输入输出检测模版的程序)

ArtWork.Conversion.Gbrip.v6.15 1CD(光栅图像处理软件)

ArtWork.Conversion.ASM.500W.v6.27.DXF.to.Gerber.Translator 1CD(一款DXF到Gerber的转换器)

ArtWork.Conversion.ASM2600.v7.01 1CD(从Electromask and Mann模式的代码生成器中分裂出GDSII数据)

ArtWork.Conversion.ASM550.v1.63.Mentor.to.DXF.Translator 1CD

ArtWork.Conversion.ASM600.V6.43b.GDSII.to.Gerber.PostProcessor 1CD

ArtWork.Conversion.ATG-III.v1.04 1CD

ArtWork.Conversion.GBRComp.v1.11 1CD

ArtWork.Conversion.Gbr-Rip.v6.25 1CD(光栅图像处理设计的软件)

ArtWork.Conversion.GB-RIP.II.v6.14.Gerber.to.Raster.Translator 1CD

ArtWork.Conversion.GBRVU.v2.67 1CD

ArtWork.Conversion.GDSFilt.v1.51 1CD

ArtWork.Conversion.GDSPlot.v5.35A 1CD

ArtWork.Conversion.Netex-G.v1.24B 1CD

ArtWork.Conversion.PGSort.v1.34 1CD

ArtWork.Conversion.QCKBool.v1.10 1CD

ArtWork.Conversion.QCKVU.for.Prolith.v2.61 1CD

ArtWork.Conversion.QCKVU.v2.61 1CD

ArtWork.Conversion.QIS.v2.15 1CD

ArtWork.Conversion.STL2GBR.v1.14.STL.to.Gerber.Translator 1CD

 

Symphony.EDA.VHDL.Simili.Sonata.v2.3.10(VHDL编译器和模拟器,硬件设计者辅助软件)

 

QuikLogic产品:

QuikLogic.QuickWorks.v9.8.4 1CD(ESP和FPGA的开发软件)

QuikLogic.QuickWorks.v9.7.DOCS.Addon 1CD

 

NOVAS产品:

Novas nLint 2014.12 Linux64 1CD

Novas 2011.10 Linux 1CD(包含verdi 和debussy)

Novas v2010.04 Linux64 1CD

Novas v2010.01 Doc 1CD

Novas.v2006.04 1CD(包含verdi 和debussy)

Novas.2007.10 Linux 1CD(包含verdi 和debussy)

Novas nLint 2011.10 for Linux32_64 & Solaris 1CD

nLint2.2 v24 1CD

Novas.Nlint.v1.1.R9 1CD

Novas.nLint 2009.04 Linux 1CD

Novas.Debussy.54.v9.0.WinALL(电子设计自动化用的调试排障工具)

Novas.Debussy.v6.1.Linux 1CD

 

Tektronix产品:

WaveStar.v2.6 1CD(无线网络解决方案)

 

WISE产品:

GerbTool v15.0 1CD(一款PCB设计工具)

 

Lattice产品:

Lattice ispLEVER v7.1-ISO 1DVD( 最新版,可编程逻辑电路开发工具)

Lattice ispLEVER v7.1.01.34.35.08.SP01 Update 1CD

 

ispLEVER Starter v2.0 1CD

ispLever 培训资料(中文)

 

ispDesignExpert v8.2 1CD

ispDesignExpert 培训教材 (中文)

ispDesignExpert v8.0 用户手册(英文)

 

ispExpert v7.01 1CD

FPGA.Module.for.Lattice.v5.1 1CD

Lattice.Semiconductor.Lattice.Diamond.v3.5.0.102.Win32_64 2DVD

Lattice.Semiconductor.iCEcube2.v2015.04 1DVD

Lattice.Semiconductor.PAC-Designer.v6.30.1346 1DVD

Synplify.for.Lattice.v8.2 1CD 1CD(ispLEVER入门可选组件模块,包括Synplify综合工具的Lattice版本,

                    可以进行Lattice CPLD 和 FPGA产品HDL设计综合)

SUPCON产品:

SUPCON JX-300X v3.12a-ISO 1CD(集散控制系统)

 

OMRON产品:

OMRON CX-ONE 4.33 Multilanguage Win32 1DVD

OMRON CX-ONE 4.32 1DVD

CX-ONE v4.24 Multilanguage-ISO 1DVD

CX-Programmer.2.1中文版-ISO(OMRON专业PLC编程软件)

CX-Programmer v6.1 1CD

CX-Programmer 软件使用说明书(中文)

CX-Simulator 1.5 1CD

 

OMRON Mptst4.0中文版 1CD

Manual cxp 中文使用手册

 

ManiaBarco产品:

UCam.v9.2.1.Win32 1CD(印刷电路板CAM(计算机辅助制造)软件)

UCam.v8.1.Win32 1CD(中文版)

UCam中文教程

 

PENTALOGIX产品:

PentaLogix.CAMMaster.Designer.11.14.6 1CD

PentaLogix CAMMaster v11.8.25 1CD(CAM处理软件)

PentaLogix FixMaster v11.2.4 1CD

PentaLogix.ProbeMaster.v11.0.87 1CD

PentaLogix RoutMaster v9.4.30 1CD

PentaLogix.ViewMate.Pro.11.14.7 1CD

 

-+GIS地理信息系统+-

~~~~~~~~~~~~~~~~~~~~

Esri产品:

ESRI Arcpad 10.0 SP1-ISO 1CD(为移动GIS应用和作业而设计的软件)

ESRI.ArcGIS.Desktop.v10.6.eng.addons 1DVD

ESRI ArcGIS Desktop 10.6 Build 161544 1DVD

ESRI ArcGIS Desktop v10.5 Full 1DVD

ESRI ArcGIS Desktop 10.4 Win32 1DVD

ET SpatialTechniques Products v11.3 for ArcGIS 10.4 3CD(includ ETGeoTools,ETGeoWizards and ETSurface)

Esri ArcHydro for ArcGIS desktop v10.4 Win32_64 2CD

Esri ArcGIS Desktop v10.3.1 Win32 1DVD

ESRI ArcGIS Desktop v10.3.0.4322 1DVD

ESRI.ArcGIS.Desktop.v10.2.2-ISO 3DVD(地理信息系统)

Geosoft Software Suite 8.3.1 65015 for v10.2.2 1CD

ESRI ArcGIS Desktop v10.4.1.5686  1DVD

ESRI.ArcGIS.Desktop.v10.2.2.中文版 1DVD

ESRI.CityEngine.2016.0.2642.160601R 1CD

ESRI.CityEngine.2015.1.147045.Win64 1CD

Esri CityEngine 2015.0 with Tutorial & Examples 1DVD

ESRI.CityEngine.v2013.1.build.131115 1CD

ESRI CityEngine Advance 2015.2.2106.150928 Win64 1CD

ESRI CityEngine Advance 2014.0 Win64 1DVD

ESRI CityEngine Advance 2013.1 1CD

ESRI CityEngine 2012.1 Advanced Win32_64 2CD

ArcGIS.DeskTop.v9.0 Sp3-ISO 3CD(中、英文 完全安装版)

ArcGIS DeskTop.v9.0 SP3 中文汉化文件 1CD

ArcGIS Desktop v9.0-ISO 3CD(桌面版)

ArcGIS.v9.3.SP1.DVD-ISO 1DVD

ArcGIS v9.0 工作站版-ISO 3CD

ArcGIS Server v10.1 for Linux-ISO 1DVD

ArcGis Workstation v9.0 1CD

DataEast.CarryMap.v3.11 1CD

Clark.Labs.TerrSet.v18.31 1CD(综合地理信息系统监测和模拟软件)

Clark Labs TerrSet v18.02 Update 1CD

ClearTerra LocateXT Desktop v1.3.1.0 Win32_64 2CD

ClearTerra LocateXT ArcGIS for Server Tool 1.3.1.0 Win32_64 2CD

ClearTerra LocateXT Desktop 1.2 Win32_64 2CD

ClearTerra LocateXT v1.1 for ArcGIS ,Google Earth Win64 1CD

DataEast.CarryMap.v3.8.523.1.for.ArcGIS.Desktop 1CD

DataEast.AgroKarta.v2.0.2 for ArcGIS 1CD

Data East Carry Map v2.3 for ArcGIS 1CD

Data East Tab Reader v4.4 for ArcGIS Desktop 10.x 1CD

DataEast.TAB.Reader.v4.2.0.215 for ArcGIS 10.1 1CD

Data East XTools Pro 9.2 Build 956 for ArcGIS Desktop v10.1 1CD

DataEast.AgroKarta.v2.0 for ArcGIS Desktop 1CD

Data East SXFTools v2.4 for ArcGIS Desktop 1CD

Data East SXFTools v2.3 for ArcGIS Desktop Win32_64 1CD

ET SpatialTechniques Products v11.2 for ArcGIS 10.3, 10.2, 10.1, 10.0, 9.3 1CD

KMLer for ArcGIS 10 1CD

KML2KML 3.0.20 build 06.21.12 for ArcGIS 10.x 1CD

SuperOverlay 3.0.6 build 06.10.11 for ArcGIS 10.x 1CD

MXGPs for ArcGIS v10.2 and v10.3 1CD

Lynx.Seismap.v4.15.for.ArcGIS 1CD

ArcGIS v9.0中文环境(安装汉化之前要求安装ArcGIS Desktop Sp1)

ArcGIS v8 中文简明教程

ArcGIS 中文教程

ArcGIS 二次开发(MO)    

ArcView Image Analyst v1.0 1CD

ArcView Internet Map Server v1.0 1CD

ArcView Internet Map Server v1.0 1CD

ArcView Network Analyst v1.0a 1CD

ArcView Spacial Analyst v2.0 1CD

arcview Tracking Analyst v1.0 1CD

ArcView 3D Analyst v1.0 1CD

ArcView.GIS.v3.3中文版(包括最新插件) 1CD

ArcView v3.3 1CD

ArcView 培训资料

 

ArcIMS v9.0 1CD

ArcIMS v4.0.1 for Win2000_XP 1CD

UsingArcIMS3.1(英文教程)

ArcIMS 讲座(中文)

ArcIMS性能优化和调整(中文)

 

ArcInfo.WorkStation.v9.1-ISO 1DVD

 

Active Map v2000 1CD

ArcPad v6.0 1CD

ArcReader v9.0 1CD

 

ESRI ArcGIS ArcSDE v10.2-ISO 1CD(GIS空间数据服务器)

ArcSDE v8.3 C 开发包

ArcSDE 管理员手册

 

Avenza.Geographic.Imager.v4.50 1CD

Avenza MAPublisher v10.0 Win64 1CD

Avenza.MAPublisher.9.8 1CD

DeltaGIS.v8.1.0.8.Multilingual 1CD(地块管理软件)

ET GeoWizards v9.9 1CD

FracSIS 5.1-ISO 1CD(三维GIS空间数据集成及可视化软件)

GeoCad 2004 v5.4b 1CD(工程地质剖面图件和柱状图软件)

GeoFrameworks.GIS.NET.for.All.Platforms.v2.0.1 1CD

GeoFrameworks.GPS.NET.for.All.Platforms.v2.3.16 1CD

Geoweb3d Desktop v3.2.0 1CD

GeoTools v11.0 1CD(开源的JavaGIS工具包,可利用它来开发符合标准的地理信息系统)

GeoMap v3.6-ISO 1CD(适用于石油勘探开发行业数字化制图系统)

Geoscience(GS) Software v5.5 1CD

Geoway v3.5 1CD

GeowayDRG v2.0 1CD

Geoweb.3D.v2.04 1CD(GIS可视化应用程序)

Gutrhie.Arcv2CAD.v7.0A.19.CAD2Shape.v7.0A.27.dwgConvert.v8.0A.17 3CD

Gutrhie.CAD.GIS.collection.2012 1CD

        包括:

            Gutrhie Arcv2CAD v6.0 A.29

            Gutrhie CAD2Shape v6.0 A.28

            Gutrhie DwgConvert v7.0 A.01

            Gutrhie HPGL2CAD v4.0 A.15 D

            Gutrhie ShxConvert v4.0 A.20

IAVO Research & Scientific 3D FeatureXTract v3.1.1.4085 1CD

K2-Photogrammetry.PATB.v3.6.278 1CD

Killetsoft DRAGSENS 3.08 1CD(GPS传感器应用软体)

Killetsoft.ORTWIN.v12.26 1CD

Killetsoft SEVENPAR 7.00 1CD(GPS坐标转换软件)

Killetsoft.TOPOWIN.v15.30 1CD

Killet.TRANSDAT.v20.56.Multilanguage 1CD(专业的地理坐标转换工具)

Klokan.MapTiler.Plus.v9.1.1.Win64 1CD

Klokan.MapTiler.Plus.v8.0 1CD

Klokan.Maptiler.Pro.v0.5.3.Win32_64 1CD

Latitude.Geographics.Geocortex.Optimizer.v1.7 1CD

Latitude.Geographics.Geocortex.Essentials.v4.2 1CD

LimitState.GEO.v3.2e.19333.Win32_64 2CD(岩土稳定性分析软件)

LimitState RING 3.2.a.20141 Win32 1CD

LimitState.RING.v3.1.b.17345.Win32_64 2CD(圬工拱桥的二维分析软件)

LimitState.SLAB.v1.0.d.18482.Win32_64 2CD

MAP3D-NL v6.1 1CD

Mapthematics GeoCart v3.2.0 1CD

Mapthematics.Geocart.v3.1.0.Win32_64 2CD

Merrick.MARS.Explorer.v7.1.7112.Win64 1CD

Merrick.MARS.v7.0.Win32 1CD

Merrick MARS v8.0.3.8140 Win64 1CD

Merrick.MARS.Production.v8.0.6.Win64 1CD

NITF.for.ArcGIS.v1.0 1CD(需要先安装ArcGIS.Desktop.v9.3

MAPC2MAPC.v0.5.6.3.Win32_64 2CD

OCAD.Mapping.Solution.v12.1.9.1236 1CD(地图绘图软件)

OCAD.v11.5.6.2031 1CD

Pix4D.Pix4Dmapper.Pro.v4.0 1CD

Pix4d Pix4Dmapper 3.1 Pro Full Win64 1CD

Pix4D.Pix4Dmapper.Pro.v2.0.104.MacOSX 1CD(无人机数据处理软件)

Pythagors v12.0 Multilanguage 1CD

Pythagoras.CAD.GIS.v12.26 1CD(地理信息系统)

QuoVadis v7.3.0.38 1CD

Red Hen Media Geotagger v3.2 1CD

RIVERMorph Pro v5.2.0 1CD(河流数据库的软件系统)

RockWare.GIS.Link.2.for.ArcGIS.10 1CD

SurfSeis v1.5-ISO 1CD(美国面波软件)

Sokkia Mapsuite+ v3.0 build 304-ISO 1CD(勘探测绘软件)

Security Manager for SDE v2.0.47 1CD

Shp2kml v2.0 1CD(图形转kml转换器)

TatukGIS.Aerial.Imagery.Corrector.v2.1.0.208 1CD(对遥感技术所成图象进行几何矫正与配准等的工具)

TatukGIS.Editor.v4.3.0.9469 1CD(一款生成与编辑GIS地理信息矢量图的工具)

TectonicsFP v1.77.1168 1CD

TerrainCAD.v1.1 1CD(地形生成、编辑和建模工具)

TNTmips.v2006.72 1CD(广泛应用于地理信息系统(GIS)分析,图象处理,CAD,点子地图等领域的2维平面和3维立体地貌显示)

VectorDraw Developer Framework 7.7009.1.0 1CD(支持2D和3D的矢量绘图控件)

WinGIS 2009-ISO 1CD(地理资讯管理)

Winsev v6.3 1CD(地球地理软件地球科学)

WiseImage.Pro.Geo.Edition.v7.0.WiN32 1CD(测绘及与GIS相关行业设计的一款软件)

 

Manifold.System.Release.8.0.4.2836 1CD(世界级全功能的地图信息系统(GIS),以经济价格包装整合实用工具,支持微软系统的新世代技术)

 

MapObjects JAVA standard edition v1.0 for Windows 1CD

MapObjects.v2.3  1CD(一组共开发人员使用的制图和GIS功能组件)

MapObjects 参考手册

MapObjects 中文指导

MapObjects 培训教程(pdg格式)

MapObjects 应用开发

Getting Started with MapObjects 2.1 in Visual C++(教材)

ArcMap 中文教程

 

Blue Marble Geographics产品:

Blue Marble Geographic Calculator 2017 Build 180417 Win32_64 1CD

BlueMarble.Geographic.Calculator.2016.Win32_64 2CD(可以从任何实际的系统,数据,map工程中转换成直角坐标系)

BlueMarble Geographic Transformer v5.2 1CD(将图形中的象素和现实世界具体位置的坐标对应映射联系起来)

BlueMarble.Geographic.Tracker.v3.3 1CD(将图形中的象素和现实世界具体位置的坐标对应映射联系起来)

BlueMarble.Geographic.Transformer.Plugin.For.MapInfo.v1.0 1CD(为MapInfo开发的插件)

Blue Marble Global Mapper v20.0.0 Win32_64 2CD(浏览、合成、输入、输出大部分流行的扫描点阵图、等高线、矢量数据集的软件)

Global.Energy.Mapper.v14.2.Win32_64 2CD

 

MapText, Inc.产品:

MapText.Label.Edit.v5.3.0.249 1CD

MapText.Label.EZ.v5.3.0.273 1CD

MapText Label-Web v2.0.52 + Label-Contour v1.6 2CD

 

MultiGen-Paradigm产品:

Paradigm v17.0 Win64 1DVD

Paradigm 15.5 Win64 1DVD

Paradigm Epos v4.0 Win32 1CD

Paradigm Epos v4.0 Linux64 1CD

Paradigm Geolog v7.0 Win64 1CD

Paradigm Geolog v6.7.1 1CD

Paradigm Interpret 2008 1CD

Paradigm Sysdrill 2012 1CD

Paradigm Sysdrill v2009 1CD(钻井设计软件)

Paradigm SKUA-GOCAD 2017 Win64 1CD(三维地质建模软件系统)

Paradigm SKUA GOCAD Engineering Modeling 2015 Win64 1CD(三维地质建模软件系统)

GoCAD v2009.3 p1 Win32 1CD

GoCAD v2.0.8 Linux 1CD

SKUA v2009.3 p1 Win32 1CD  

Wellead v4.0 1CD(钻井设计软件)

GoCAD 中文操作手册

GoCAD矢量汉字数据库的开发

 

Inpho产品:

Inpho.ApplicationsMaster.v5.3.0.Win32_64 2CD

Inpho.ApplicationsMaster.v5.1.Win32 1CD

Inpho.DtMaster.v1.0.0 1CD

Inpho.Match-AT.v4.06 1CD

Inpho.Match-T.v4.0 1CD(自动空中三角测量软件。带有INPHO独有的高级图像处理算法)

Inpho.OrthoVista.v4.5.0.Win32_64 2CD

Intergraph SSK v6.1-ISO 2DVD

Inpho Summit Evolution v6.8 Win32 1CD

Inpho Summit v5.3 1CD

Inpho.Scop.Plus.Plus.v5.3 1CD(用来对任意大小的 DTM(数字地面模型)项目进行处理的工具)

Inpho.UASMaster.v5.6.3 1CD(无人机航测系统)

Inpho.WIBU.CodeMeter.v4.01.Win32_64 2CD

SCOP++.v5.4.5.Win32 1CD

Trimble Inpho UASMaster 7.1.3.51242 1CD

Trimble Inpho UASMaster 7.0.1 Full Win64 1CD((无人机航测系统))

 

PCI产品:

PCI Geomatica 2017 SP1 Win64 1DVD

PCI Geomatica 2016 SP1 Win64 1DVD

PCI.Geomatica.2016.Win64 1CD

PCI Geomatica 2015 Win64 1DVD

PCI.Geomatica.2013.SP3.Win32_64 2CD

PCI Geomatica 2013 Win32_64 2CD(PCI Geomatica软件是加拿大PCI公司开发的用于摄影测量分析、遥感影像处理、

                   几何制图、GIS分析、雷达数据分析、以及资源管理和环境监测的多功能软件系统)

PCI.Geomatica.2014.Linux64 4CD

PCI GEomatica v2012.Linux64 1CD

   LEICA GEOSYSTEMS产品:

Leica Cyclone 8.0.0.3382 Win32 1CD(徕卡三维激光扫描)

Leica.Cyclone.v7.4.1 & Plugins.Win64 1DVD

Leica CloudWorx and ForensicMAP plugins collection 1CD

Leica.Cyclone.II.Topo.v2.0.188.Win32 1CD

Leica GeoMoS v3.0 1CD(监测系统软件)

Leica.LISCAD.v12.0 UK 1CD

Leica LISCAD v11.2 US+UK 2CD(土地测量和工程学软件)

Leica.PhotoGrammetry.Suite.v9.1-ISO 1CD(数字摄影测量及遥感处理)

LEICA GEO OFFICE v8.4 中文版 1CD

Leica.GEO.Office.v8.4.0.0.14023 1CD

LEICA Geo Office v6.0 CHS-ISO 1CD(中文版,包括L1、L2 & GLONASS)

Leica.GEO.Office.v8.3.0.0.13017 1CD(English version)

Leica Zeno Field v3.11 1CD

Leonardo.XE.2013.v9.0.2014.2603 1CD(土地建模测量工具)

Intergraph ERDAS Foundation/IMAGINE/ER Mapper 2014 v14.0 3DVD

Intergraph Erdas Imagine-LPS-ER Mapper 2013 1DVD

ERDAS Foundation 2014 v14.0 Win32_64 1DVD

ERDAS Imagine 2015 Multilanguage Win64 3DVD

ERDAS Imagine 2014 v14.0 Multilanguage Win32_64 2DVD(美国Leica公司开发的遥感图像处理系统)

ERDAS.Imagine.v8.7.With.LPS.V8.7-ISO 6CD(空间影像处理软件)

ERDAS Orima 2014 v14.0 Win32 1CD

ERDAS.Stereo.Analyst.v1.0(立体分析模块)

ATCOR for Imagine 2013 1CD

PRO600 2014 for Bentley Map Enterprise V8i Win32 2CD(中、英文版)

PRO600 2014 for Bentley Map V8i Win32 2CD(中、英文版)

PRO600 2014 for MicroStation V8i Win32 2CD(中、英文版)

Wyler.CHART/DYNAM.v1.6.6.106.Win64 1CD(用于显示WYLER倾角测量仪器和传感器的测量值)

Wyler.SPEC.v1.1.6.352 1CD(测量物体和机器几何相关任务的理想工具)

Wyler.INSERT.v1.1.6.45 1CD

 

Pixoneer Geomatics Inc产品: 

PG-STEAMER.RTP.v4.1 1CD(遥测影像软体)

 

RSI产品:

Research.Systems.IDL v6.0 1CD

Research.Systems.Envi v4.2 1CD(一套功能齐全的遥感图像处理系统,是处理、分析并显示

                  多光谱数据、高光谱数据和雷达数据的高级工具)

Research.Systems.Envi 用户指南(中文)

 

S&T Virtual Reality Systems产品:

Blueberry.3D.Terrain.Tools.V1.0-ISO 2CD(三维地形编辑器)

 

Nelava产品:

Socet SET v5.3-ISO 1CD(数字摄影测量软件,主要提供於军事用途和商务方面,

              藉由它可轻易得到地球上任一地方精确的座标位置)

 

BOEING产品:

GIS.Feature.Collection.Module.v1.2.for.Boeing.SoftPlotter.v4.1-ISO 1CD( 数字摄影测量实用系统模块)

SoftPlotter.v4.1.with.Airfiled-ISO 1CD(数字摄影测量实用系统)

 

ITT Industries Inc.产品:

Exelis (EX Ittvis) E3DE v3.0 Win32 1CD

Exelis.ENVI.LiDAR.v3.2.Win64 1DVD

Exelis (ex. ITT) ENVI v5.3, IDL v8.5, LiDAR v5.3 Win64 1DVD

Exelis.ENVI.v5.2.SP1.Win32_64 2DVD

Exelis.ENVI.v5.1.Win32_64 2DVD

Exelis.IDL.ENVI.v8.4.Win64 1DVD

ITTVIS.ENVI.v5.0.SP2.&.SARscape.v5.0.Win32 2DVD(遥感开发工具包)

ITTVIS.ENVI.v5.0.SP2.&.SARscape.v5.0.Win64 2DVD

ITTVIS.ENVI.v5.1 Win64 1DVD

ITTVIS.ENVI.Orthorectification.v5.0.SP2.Win32_64 2CD

ITTVIS.ENVI.v4.3.Linux 1CD

ITTVIS.ENVI.v4.4.Linux64 1CD

ITTVIS.ENVI.EX.v1.0.01.1157 1CD(图像处理和分析软件)

ITTVIS.ENVI.EX.v1.0.01.1157.x64 1CD

ITTVIS.ENVI.EX.v1.0.1080.Linux 1CD

ITTVIS.ENVI.EX.v1.0.1080.MacOSX 1CD

ITTVIS.ENVI.EX.v1.0.1080.Solaris 1CD

ITTVIS.IDL.v7.1.Release 1CD(数据分析和可视化平台)

ITTVIS.IDL.v7.1.1.x64 1CD

ITTVIS.IDL.v7.1.1.LINUX 1CD

ITTVIS.IDL.v6.4.LINUX.x64 1CD

ITTVIS.IDL.v7.0.3.Update.Only.Solaris 1CD

ITTVIS.IDL.v7.1.1.MACOSX 1CD

ITTVIS.IDL.v6.4.MACOSX.x64 1CD

ITTVIS.IDL.v6.3.Analyst 1CD(数据分析和可视化平台)

ITTVIS.IDL.v6.3.Analyst.Linux 1CD

ITTVIS.IDL.v6.3.Analyst.Linux64 1CD

 

Interactive Visualization Systems产品:

IVS.3D.Fledermaus.Pro.v7.3.1a.205 1CD(功能强大的交互式3维数据可视化系统,帮助用户完成 包括

                      海洋(海岸、海底)资源调查与制图、环境影响)

IVS.3D.Fledermaus.Professional.v7.3.1a.205.X64 1CD

IVS.3D.Fledermaus.Professional.v7.0.1d.323.Linux 1CD

IVS.3D.Fledermaus.Professional.v7.0.1d.323.Linux.x64 1CD

IVS.3D.Fledermaus.Professional.v7.0.1d.323.MACOSX 1CD

 

QPS B.V.产品:

QPS Fledermaus 7.8.4 Win64 1CD

QPS.Fledermaus.v7.5.2.Win32_64 2CD(广泛用于水道测量单位作为海底地形、地貌、地层等各种类型数据的显示以及建模)

QPS.Qimera.v1.6.3.Win64 1CD(易于使用且功能强大的声纳QPS数据处理中的应用软件)

 

Eye4Software B.V.产品:

Eye4Software.Coordinate.Calculator.3.2.12.928 1CD

Eye4Software.GPS.Mapping.5.0.12.1214 1CD

Eye4Software.Hydromagic.v5.0.13.314 1CD(水文测量软件)  

Nobeltec产品: 

AMC.GPS2CAD.v4.3.0.0 1CD

GPS CAD Transfer v1.0 1CD (GPS辅助工具,可以将Magellan GPS路点转换成AutoCAD格式)

GPS Tools SDK v2.11b  1CD

GPS Trackmaker 4.9.550 1CD

GPS NET Visualization Tools v1.3 1CD

GPS.Lab.Professional.v1.0.WinALL 1CD

GPS 全球定位系统及其应用

 

Curious.SoftWare.World.Maps.v5.5K-ISO 5CD(最快速、最通用和最经济的制作高质量地图和地理学动画的工具,用于TV和视频行业)

Curious SoftWare World Maps v5.5K UpDate 1CD(最新升级包)

Curious World Maps v7.0-ISO 1DVD(地图制作)

Curious World Maps v7.2F Update 1CD

 

Garmin.MapSource.Atlantic.v4-ISO 1CD

Geopainting GPSMapEdit 2.1.78.8.16 1CD(可视化的GPS地图操作工具)

Geoandsoft Cecap 32 v3.0 1CD

Geoandsoft Clasrock 32 v3.0 1CD

Geoandsoft Clu_star 32 v3.0 1CD

Geoandsoft.Eletom.32.v3.0.13.Full 1CD

Geoandsoft Happie 32 v3.0 1CD

Geoandsoft Ila32 v3.0 1CD

Geoandsoft Isomap 32 v3.0 1CD

Geoandsoft Rock3D32 v3.0 1CD

Geoandsoft Rotomap 32 v3.0 1CD

GeoandSoft SID32 v3.0 1CD

Geoandsoft Vercam32 v3.0 1CD

Geoandsoft Well32 v3.0 1CD

GeoSystem.Delta.v5.0-ISO 1CD(地球物理/CAD.定位软件)

Global Tracks 2003 v6.11 1CD(一个分析飓风走向和路线的软件,是一个全球范围跟踪,预测飓风情报的软件)

GEPlot 2.1.31.0 1CD(用于绘制谷歌地球轮廓线和网格的Windows应用程序,可以在小范围和整个世界范围内绘制您的地理数据,让你轻松搜索地球范围内数据的软件)

 

AIS-Sim v2.40.01.2006(AIS和NMEA的仿真软件,能够使用内置的AIS信息仿真HS-NMEA,分析收到的AIS信息并显示在雷达上)

 

AEGIS v0.19.65.505 1CD

AEGis.acslXtreme.v2.5.WiNNT2K 1CD

Alturion GPS European Maps v5.2-ISO  3CD

Applied.Imagery.Quick.Terrain.Modeller.v8.0.7 1CD 1CD(三维点云处理软件)

Applied Imagery Quick Terrain Modeler v8.01 USA & International Win32_64 2CD

Menci Software APS v8.2 Win64 1CD

acQuire v4.2.1.1 1CD(面向自然资源行业的地理科学信息管理(GIM)软件解决方案,专注于勘探,资源开发和采矿资产)

APS Menci Remote 7.6.1 1CD(摄影测量软件套件,用于大规模和准确的无人机数据处理)

AvisMap.Deskpro.v5.0.2.5507 1CD

Capturing.Reality.RealityCapture.v1.0.3.4987.RC 1CD(摄影测量软件)

Eliis.PaleoScan.v2015.1.0.17689.Win64 1CD(全局自动地震层序地层学解释软件)

ER Mapper v6.4-ISO 1CD(在142个国家使用,全球有514家销售商提供支持,世界上最流行的桌面集成化图像处理软件)

FME.Suite.v2004.ICE.3 1CD(通用的跨GIS软件平台数据转换工具,可以进行快速、无损的数据转换)

FreeWorld3D 1CD(交互式的三维立体地形地貌生成和编辑软件)

GroundMap.v1.3.8.102.WinALL 1CD(通过GroundMap你可以将气象卫星图片与地图整合起来)

Helmel Engineering Geomet v7.01.182 1CD(三维坐标软件)

 

IDRISI.Andes.v15.00-ISO 1CD(地理信息系统(GIS)及图象处理软件)

IDRISI.Kilimanjaro.v14.0.1-ISO 1CD(地理信息系统(GIS)及图象处理软件)

Idrisi Product v17.0 1CD

Idrisi Selva v17.02 1CD(全球土地变化模块)

IDRISI-Taiga v16.03 Win32 1CD

 

Infograph InfoCAD v6.51b BiLingual 1CD(完整的地理信息系统软件)

Intergraph GeoMedia Desktop 2015 1DVD

InterGraph Geomedia Web Enterprise v4.00 22 1CD

Intergraph GeoMedia v6.1 Pro-ISO 1CD

LeadTools.Vector.Imaging.Pro.v14.0-ISO 1CD(图像处理开发包)

LizardTech.GeoExpress.Unlimited.v10.0.0.5011.Win64 1CD

LizardTech GeoExpress Unlimited v9.5.4.4650 Win32_64 2CD(空间影像压缩软件)

Lizardtech Lidar Compressor 2011 v1.1.1.2802 Win32_64 3CD

Lizardtech GeoExpress GUI v8.0.0.3065 1CD

LizardTech.GeoViewer.Pro.v9.0.2.4224 1CD

M4 P&ID FX v6.0 1CD

MapBasic v6.0 1CD(在MapInfo平台上开发用户定制的应用程序的编程语言)

Maxmess-Software.On-Site.Photo.2010.1.9.1 1CD

Maxmess-Software.On-Site.Survey.2014.1.4 1CD

GoldTools for MapInfo v3.0.130 1CD

OkMap Desktop 13.10.7 Multilingual 1CD

OkMap Desktop 13.12.1 Multilingual Win64 1CD

OkMap Desktop 13.10.5 Multilingual Win64 1CD

OkMap Desktop 13.10.3 Multilingual Portable Win64 1CD

OkMap Desktop 13.10.1 Multilingual 1CD

OkMap Desktop 13.10.0 Multilingual Portable 1CD

OkMap Desktop 13.9.2 1CD

OkMap.13.7.3 1CD

OkMap.v13.4.1.Win64 1CD

OkMap Desktop v13.2.2 Win64 1CD

Pitney.Bowes.MapInfo.Professional.v16.0.1.Win64 1CD

Pitney.Bowes.MapInfo.Professional.v15.0 1CD

MapperG for MapInfo Professional v2.5.0 1CD

Pitney.Bowes.MapInfo.Pro.v12.5.build.33 1CD

Pitney.Bowes.MapInfo.Pro.v12.5.1.122.Win64 1CD(强大的基于Windows平台的地图化信息解决方案)

Pitney.Bowes.MapInfo.Professional.v12.5.4.Build.402.Update.Only.Multilanguage.Win64 1CD

Pitney.Bowes.MapInfo.Professional.v12.5.0.311.Multilang.Update.Only.Win64 1CD

MapInfo Pro v12.0.3.304 Update Only 1CD

MapInfo Professional v7.8 1CD(中文汉化版)

MapInfo.MapX.v5.02 1CD

MapInfo.Mapx.Mobile.v5.0-ISO 1CD

MapInfo.MapXtreme.2008.v6.8 1CD

MapInfo.MapXtreme.2004.v6.2.CHS 1CD(中文版)

MapInfo.MapXtreme.Java.Edition v4.80.24 1CD

MapInfo.MapXtreme.Java.Edition.v4.80.24 Linux 1CD

Mapinfo Line Style Editor v2.0

VitaminK for MapInfo Pro Bundle 2012.2 1CD

 

Piscatus.3D.v5.0-ISO 1CD(3D海床地图绘制)

 

Kork Digital Mapping System v14.0-ISO 1CD(地理信息工程)

KineMAP Digital MAP SoftWare v5.0 1CD(数字地图制作工具,将光栅、矢量和海拔数据生成动态地图)

Sandy Knoll Software Metes and Bounds Pro 5.4.0 1CD(计算平方英尺和面积软件)

Sedimetrics.Digital.Gravelometer.v1.0 1CD

StitchMaps v2.40 1CD(地图工具,能将地图拼接为一个大地图)

WinTopo-Pro.v2.52 1CD(光栅(raster image)转换成向量(vector)图象的工具)

 

I-GIS GeoScene3D v10.0.12.514 1CD

IMAGIS v2.3+中文使用手册(三维可视地理信息系统)

IMSTutoria(英文教程)

 

Interpex.IXSeg2Segy.v3.30 1CD(读取SEG-1、SEG-2、SEG-Y、ASCII、CSV 和其它量测厂商多信道的地震数据格式,可输出SEG-2、SEG-Y或ASCII文件)

Interpex.IXRefrax.v1.14(一个整合的地震波分析软件,功能多样)

Interpex.IX1D.v3.53 1CD

Interpex.IX2D.GM.v1.03 1CD

dGB.Earth.Sciences.OpendTect.v6.2.1 1DVD

dGB Earth Sciences OpendTect v6.2.0 Win64 1CD

DGB.OpendTect.Commercial.v4.2.0l.Win32 1CD(地震目标检测系统)

DGB.OpendTect.Commercial.v4.2.0l.Win64 1CD

DGB.OpendTect.Commercial.v4.2.0l.Linux32 1CD

DGB.OpendTect.Commercial.v4.2.0l.Linux64 1CD

DGB.OpendTect.Commercial.v4.2.0l.MacOSX 1CD

 

3DBrowser Online Help(中文)

3Dsurvey v2.70 Win64 1CD

3Dsurvey v2.1.10 1CD(土地测量数据处理的软件)

Engenius.QuickPLOT.Pro.v3.5.10 1CD

Engenius.SurvOPT.Coil.v3.5.10 1CD

Engenius.SurvOPT.v3.6a3 1CD

EngGeo 1.1.8.204 1CD

FAST Survey 3.1.0 22 1CD

Franson CoordTrans v2.0 1CD

Gray.Technical.Log.Evolve.v2.0.0 1CD(图形数字化仪)

GeoDLL.v11.11 1CD(大地测量)

GeoMax X-PAD Office Fusion 4.1.700 Win64 1CD(用于处理各种不同信息的真正集成的地理空间数据软件)

GXII v4.02 1CD

Hans.Gerd.Duenck.Kerst.AllTrans.v2.325 1CD

Inertial.Explorer.v8.70.4517 1CD(高精度GNSS/INS 组合事后处理软件)

Magixity Online Help(中文)

MapScenes Pro 2010 1CD

MicroSurvey.inCAD.Premium.2015.v15.0.0.2180 1CD(以功能强大的Intellicad 为核心的工程测量专业CAD设计与绘图软件)

Microsurvey InCAD 2013 for AutoCAD 2013 Win32_64 1CD

MicroSurvey.CAD.2015.v15.0.3.1574.Win32_64 2CD

MicroSurvey CAD 2014 Studio v14.0.2.13 1CD(高级测量勘测软件)

MicroSurvey embeddedCAD 2013 Premium v13.0.1.0 Win32_64 2DVD

MicroSurvey.FieldGenius.v9.0.20.3 1CD

MicroSurvey FieldGenius 2014 v7.0.0.0 1CD

MicroSurvey.FieldGenius.2012.v6.0.3.5 1CD

Microsurvey Fieldgenius 2008 for PPC 1CD

Microsurvey Fieldgenius 2011 v5.0.0.4 1CD(野外精灵测量手簿软件)

MicroSurvey Layout 2 v1.0.6 1CD

MicroSurvey Layout Pro 2013 for Windows Mobile Devices 1CD

MicroSurvey Layout Pro 2013 for Windows PCs & Tablets 1CD

MicroSurvey Layout Pro 2013 Manual 1CD

MicroSurvey MapScenes Forensic CAD 2013 v13.0.2.7 1CD

MicroSurvey.PointCloud.CAD.2010.v10.2.0.6 1CD

MicroSurvey Point Prep 2013 v13.0.0.37 1CD

MicroSurvey STAR NET v8.0.2.630 1CD(最小二乘法网形平差软件)

Nobeltec Visual Navigation Suite v7.0 1CD (电子航海图、导航及天候海象分析套件)

Orima v8.72 for Socet Set v5.2 1CD(定位软件)

Pangaea.Scientific.SpheriStat.v3.0 1CD

Routable cGPSmapper v0098 1CD

Fuser 6.5.0 1CD

Studio Tecnico Guerra Thopos v7.03.00 1CD

Skyline TerraExplorer Pro v7.02 1CD

Skyline TerraBuilder v6.5.1 1CD

Skyline TerraExplorer v6.5.1 1CD

Skyline Terragate v6.5.1 1CD

Tasman.Bay.Navigation.Systems.Expedition.v10.7.21 1CD(航海导航系统)

TerraExplorer Pro 6.5.0 1CD

TerraBuilder 6.5.0 1CD

Terragate 6.5.0 1CD

Thopos 7 v7.1 1CD(地形测量软件)

TIMEZERO MaxSEA 12.6.4.1 + CM93 3.860 2DVD

TopoGrafix ExpertGPS Pro v5.45 1CD

TopoGrafix.ExpertGPS.PRO.v4.90.portable 1CD(地图软件)

TopoLT v11.1.0.3 1CD

Topcon.Magnet.Field.PC.v4.3 1CD

Topcon.Magnet.Tools.v2.0.Win64 1CD

Topcon.Magnet.Office.Tools.v4.2.Win64 1DVD

Topcon Receiver Utility v3.0.2 build 1541.207576 Win32 1CD

Topcon Tools & Link v8.2.3 Full Win32 2CD

TopconTools v8.2 Win32 1CD

TopconTools v8.0 Win64 1CD

 

Trimble Business Center-HCE v3.20 Win64 1DVD

Trimble Business Center-HCE v3.13.5358.40123 Win32 1DVD

Trimble Business Center v4.10 Win64 1DVD

Trimble Business Center 3.90.6369.58741 Win64 1DVD

Trimble Business Center(TBC) 3.60 Win64 2DVD

Trimble Business Center(TBC) v3.50.5590.28609 Win64 1DVD

Trimble Business Center(TBC) 3.40.5424.14689 Win64 1DVD(测量内业软件非常适合于GNSS/GPS测量数据的后处理)

Trimble Spectra.Precision.Survey.Office.v3.30.Win64 1DVD

Trimble Business Center v2.20 WinXP Multilingual 3CD

Trimble eCognition Developer v9.1 Win64 1CD(基于对象的图像分析的强大的开发环境)

Trimble eCognition Developer v9.02 build 2653 1CD(基于对象的图像分析的强大的开发环境)

Trimble GPSBase v2.74 1CD(参考站软件)

Trimble.GPS.Pathfinder.Office.v5.85 1CD

Trimble RealWorks Survey Advanced v6.4.2 1CD

Trimble.Terramodel.HYDROpro.v2.40.954 1CD(海洋测绘软件)

 

CyberCity城市建模与景观可视化系统 用户手册(中文)

Cyberland遥感影响处理系统 用户手册(中文)

DEMix v3.0用户手册

 

武汉适普VirtuoZoAAT v3.2 1CD

Virtuozo NT v3.6 EN 1CD

VirtuoZo v3.2 全数字摄影测量系统 用户手册(中文)

VirtuoZo v3.5 用户手册(中文)

 

Vertical Mapper v3.7.1 Full 1CD(用于分析空间上连续数据的趋势,可以生成渲染效果的图象(grid),也可以生成3D(三维)效果图;

                    Vertical Mapper可以在嵌在MapInfo Pro.中运行,也可以配合MapInfo MapX运行。前者直接

                    使用MapInfo Pro中的Vertical Mapper菜单,后者需要使用Vertical Mapper SDK进行开发)

WaSP.Map.Editor.v8.3 1CD(地图编辑器)

Waypoint GPS Grafnav/Grafnet v7.0 1CD(GPS/GLONASS事后处理软件包,功能强大,解算速度快,定位精度高)

WinSASW v3.2.6.0 1CD(用来计算色散曲线(速度相对于波长的变化)和执行前向建模来获取剪力波速和深度之间的变化(据德克萨斯大学岩土工程中心))

WipFrag v3.3.14.0 Win64 1CD(自动的基于数字图像处理技术来分析矿石颗粒粒度分布的商业商业软件)

SeismoArtif 2016 R1 Build 20 1CD

SeismoBuild 2016 R3 Build 2 1CD

SeismoMatch 2016 R1 Build 20 1CD

SeismoSignal 2016 R1 Build 20 1CD

SeismoSpect 2016 R1 Build 20 1CD

SeismoStruct 2016 R6 Build 1 1CD(对建筑物,桥梁或工业厂房等抗震强度进行精确分析评估)

Sensors.Software.EKKO_Project.v5.R2.build.7516 1CD

White.Industrial.Seismology.Compu-Blast.v8.1.13 1CD(计算震波与计时分析软件)

地震属性分析软件vva 6.6.76789.863 Win32 1CD

灵图VRMap v3.0企业版 1CD

瑞得数字测图系统RDMS5.0 1CD

数字化地形地籍成图软件CASS 5.1 标准版

中地地理信息系统MapGIS 6.7 1CD

最好的光珊转矢量图软件wintopo2.5汉化版 1CD

MapGis操作手册(上、中、下)(中文)

MapGis二次开发培训教程(中文)

XYPC一款实用的平面测量控制网平差软件

水准平差程序Heightor

测量员伴侣6.0

易画中国电子地图 v3.1 1CD(最新的各省区,市,镇,乡地图,可任意放大缩小。可测量二地之间的距离和最佳公路

                        或铁路路线(车次、经由车站、转车路线、发到站时间,应有尽有))

科傻地面控制测量数据处理系统 1CD

绿山MesaExpert v12.0 Win32 1CD

GMG MESA Expert v12.3.2 Win64 1CD

绿山MESA操作手册

 

-+石油、地质学及勘测软件+-:

 ~~~~~~~~~~~~~~~~~~~~

GeoMechanics International Inc.产品:

GMI Caliper v3.1 1CD(井径资料分析)

GMI ModelBuilder v1.4 1CD(地层压力)

GMI Mohrfracs v2.6 1CD(裂缝和断层渗透性分析)

GMI WellCheck v2.5 1CD(钻井设计和井筒稳定性分析)

GMI Imager v5.6 1CD(成像测井交互分析)

GMI PressCheck v2.5 1CD(孔隙压力预测)

GMI SFIB v5.4 1CD(斜井应力和裂缝分析)

 

ZetaWare, Inc.产品:

ZetaWare.Genesis.v5.41.KINEX.v4.77.Trinity.v3.51.Trinity.3D.v3.51.ZetaLog.v3.2 5CD(互动石油系统工具)

     

 

Hampson-Russell产品:

CGG.Hampson-Russell.Suite.v10.0.2 1CD

Hampson.Russell.CE v8.R4.4.1.x86-ISO 1CD(CFD软件,用于AVO技术岩性与流体分析检测、地震反演、油藏属性参数预测、测井)

Hampson.Russell.CE.v8.R4.4.1.Win64 1CD

Hampson.Russell.CE.v8.R4.3.Linux-ISO 1CD

Hampson.Russell.CE.v8.R4.Solaris-ISO 1CD

Hampson.Russell.CE.v8.R4.Irix-ISO 1CD

 

ikon产品:

RokDoc v6.1.4 Full Win64 1CD(岩石物理分析软件)

 

INGLES产品:

Plaxis.2D.v9.02.612 1CD

Plaxis 3D Tunnel v1.2 1CD(岩土工程专业软件包)

Plaxis 3D Foundation v1.6 1CD

Plaxis Professional v8.5 1CD

Plaxis Professional v8.2-ISO 1CD(完全安装版,好用)

Plaxis 8.0 中文用户手册

STA4-CAD v12.1 1CD

QQ:365543212

转载于:https://www.cnblogs.com/daysdown/p/9747291.html

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/笔触狂放9/article/detail/485807
推荐阅读
相关标签
  

闽ICP备14008679号