当前位置:   article > 正文

基于SPI协议的Flash驱动控制-扇区擦除

扇区擦除

目录 

Flash扇区擦除

实现原理 

 verilog设计代码

verilog测试代码


Flash扇区擦除

实现原理 

扇区的概念

  •  Flash型号的数字代表容量,单位兆bit,如M25P16,此Flash的容量为16Mbit;
  •  Flash的内存大小,扇区大小,页大小在数据手册中可以查看;
  • 每个扇区的地址范围在数据手册中给出, 地址中前两位是扇区地址,中间两位是页地址,后两位是字节地址;
  • 扇区擦除即把选中的扇区全置为1,擦除的最小单位是扇区(不能进行页擦除)

扇区擦除步骤

  1. 在写入扇区擦除指令之前需要写入写使能指令WREN,写入时拉低片选信号,写入完成拉高片选信号,写入写使能指令后便会变为写锁存状态,在此状态下才能进行扇区擦除指令写入;
  2. 写入扇区擦除指令SE和三个字节的扇区地址(选中扇区的任意地址都可),通过MOSI端口写入指令,写入完成则将片选信号拉高,若不拉高,则此指令不会执行;
  3. 扇区擦除指令写入后要等待一个全擦除周期完成擦除操作,周期时间可查看数据手册中的t_{SE}
  4. 以上步骤需要遵循串行输入时序,可在数据手册中查看,见下图;
  5. 需要查看数据手册中Flash读写操作对时钟频率的要求;

注:与全擦除相比只是在写入的擦除指令不同和多了三个字节的扇区地址写入,见下图。

 时序图中的表示也同全擦除一样,上一篇文章全擦除中已给出解释,这里不再给出。

 verilog设计代码

  1. module flash_se_ctrl
  2. (
  3. input wire sys_clk , //系统时钟,频率50MHz
  4. input wire sys_rst_n , //复位信号,低电平有效
  5. input wire key , //按键输入信号
  6. output reg cs_n , //片选信号
  7. output reg sck , //串行时钟
  8. output reg mosi //主输出从输入数据
  9. );
  10. //********************************************************************//
  11. //****************** Parameter and Internal Signal *******************//
  12. //********************************************************************//
  13. //parameter define
  14. parameter IDLE = 4'b0001 , //初始状态
  15. WR_EN = 4'b0010 , //写状态
  16. DELAY = 4'b0100 , //等待状态
  17. SE = 4'b1000 ; //扇区擦除状态
  18. parameter WR_EN_INST = 8'b0000_0110, //写使能指令
  19. SE_INST = 8'b1101_1000; //扇区擦除指令
  20. parameter SECTOR_ADDR = 8'b0000_0000, //扇区地址
  21. PAGE_ADDR = 8'b0000_0100, //页地址
  22. BYTE_ADDR = 8'b0010_0101; //字节地址
  23. //reg define
  24. reg [3:0] cnt_byte; //字节计数器
  25. reg [3:0] state ; //状态机状态
  26. reg [4:0] cnt_clk ; //系统时钟计数器
  27. reg [1:0] cnt_sck ; //串行时钟计数器
  28. reg [2:0] cnt_bit ; //比特计数器
  29. //********************************************************************//
  30. //***************************** Main Code ****************************//
  31. //********************************************************************//
  32. //cnt_clk:系统时钟计数器,用以记录单个字节
  33. always@(posedge sys_clk or negedge sys_rst_n)
  34. if(sys_rst_n == 1'b0)
  35. cnt_clk <= 5'd0;
  36. else if(state != IDLE)
  37. cnt_clk <= cnt_clk + 1'b1;
  38. //cnt_byte:记录输出字节个数和等待时间
  39. always@(posedge sys_clk or negedge sys_rst_n)
  40. if(sys_rst_n == 1'b0)
  41. cnt_byte <= 4'd0;
  42. else if((cnt_clk == 5'd31) && (cnt_byte == 4'd9))
  43. cnt_byte <= 4'd0;
  44. else if(cnt_clk == 31)
  45. cnt_byte <= cnt_byte + 1'b1;
  46. //cnt_sck:串行时钟计数器,用以生成串行时钟
  47. always@(posedge sys_clk or negedge sys_rst_n)
  48. if(sys_rst_n == 1'b0)
  49. cnt_sck <= 2'd0;
  50. else if((state == WR_EN) && (cnt_byte == 1'b1))
  51. cnt_sck <= cnt_sck + 1'b1;
  52. else if((state == SE) && (cnt_byte >= 4'd5) && (cnt_byte <= 4'd8))
  53. cnt_sck <= cnt_sck + 1'b1;
  54. //cs_n:片选信号
  55. always@(posedge sys_clk or negedge sys_rst_n)
  56. if(sys_rst_n == 1'b0)
  57. cs_n <= 1'b1;
  58. else if(key == 1'b1)
  59. cs_n <= 1'b0;
  60. else if((cnt_byte == 4'd2) && (cnt_clk == 5'd31) && (state == WR_EN))
  61. cs_n <= 1'b1;
  62. else if((cnt_byte == 4'd3) && (cnt_clk == 5'd31) && (state == DELAY))
  63. cs_n <= 1'b0;
  64. else if((cnt_byte == 4'd9) && (cnt_clk == 5'd31) && (state == SE))
  65. cs_n <= 1'b1;
  66. //sck:输出串行时钟
  67. always@(posedge sys_clk or negedge sys_rst_n)
  68. if(sys_rst_n == 1'b0)
  69. sck <= 1'b0;
  70. else if(cnt_sck == 2'd0)
  71. sck <= 1'b0;
  72. else if(cnt_sck == 2'd2)
  73. sck <= 1'b1;
  74. //cnt_bit:高低位对调,控制mosi输出
  75. always@(posedge sys_clk or negedge sys_rst_n)
  76. if(sys_rst_n == 1'b0)
  77. cnt_bit <= 3'd0;
  78. else if(cnt_sck == 2'd2)
  79. cnt_bit <= cnt_bit + 1'b1;
  80. //state:两段式状态机第一段,状态跳转
  81. always@(posedge sys_clk or negedge sys_rst_n)
  82. if(sys_rst_n == 1'b0)
  83. state <= IDLE;
  84. else
  85. case(state)
  86. IDLE: if(key == 1'b1)
  87. state <= WR_EN;
  88. WR_EN: if((cnt_byte == 4'd2) && (cnt_clk == 5'd31))
  89. state <= DELAY;
  90. DELAY: if((cnt_byte == 4'd3) && (cnt_clk == 5'd31))
  91. state <= SE;
  92. SE: if((cnt_byte == 4'd9) && (cnt_clk == 5'd31))
  93. state <= IDLE;
  94. default: state <= IDLE;
  95. endcase
  96. //mosi:两段式状态机第二段,逻辑输出
  97. always@(posedge sys_clk or negedge sys_rst_n)
  98. if(sys_rst_n == 1'b0)
  99. mosi <= 1'b0;
  100. else if((state == WR_EN) && (cnt_byte == 4'd2))
  101. mosi <= 1'b0;
  102. else if((state == SE) && (cnt_byte == 4'd9))
  103. mosi <= 1'b0;
  104. else if((state == WR_EN) && (cnt_byte == 4'd1) && (cnt_sck == 5'd0))
  105. mosi <= WR_EN_INST[7 - cnt_bit]; //写使能指令
  106. else if((state == SE) && (cnt_byte == 4'd5) && (cnt_sck == 5'd0))
  107. mosi <= SE_INST[7 - cnt_bit]; //扇区擦除指令
  108. else if((state == SE) && (cnt_byte == 4'd6) && (cnt_sck == 5'd0))
  109. mosi <= SECTOR_ADDR[7 - cnt_bit]; //扇区地址
  110. else if((state == SE) && (cnt_byte == 4'd7) && (cnt_sck == 5'd0))
  111. mosi <= PAGE_ADDR[7 - cnt_bit]; //页地址
  112. else if((state == SE) && (cnt_byte == 4'd8) && (cnt_sck == 5'd0))
  113. mosi <= BYTE_ADDR[7 - cnt_bit]; //字节地址
  114. endmodule

verilog测试代码

  1. module tb_flash_se_ctrl();
  2. //wire define
  3. wire cs_n;
  4. wire sck ;
  5. wire mosi ;
  6. //reg define
  7. reg sys_clk ;
  8. reg sys_rst_n ;
  9. reg key ;
  10. //时钟、复位信号、模拟按键信号
  11. initial
  12. begin
  13. sys_clk = 0;
  14. sys_rst_n <= 0;
  15. key <= 0;
  16. #100
  17. sys_rst_n <= 1;
  18. #1000
  19. key <= 1;
  20. #20
  21. key <= 0;
  22. end
  23. always #10 sys_clk <= ~sys_clk;
  24. //写入Flash仿真模型初始值(全F)
  25. defparam memory.mem_access.initfile = "initmemory.txt";
  26. //------------- flash_se_ctrl_inst -------------
  27. flash_se_ctrl flash_se_ctrl_inst
  28. (
  29. .sys_clk (sys_clk ), //系统时钟,频率50MHz
  30. .sys_rst_n (sys_rst_n ), //复位信号,低电平有效
  31. .key (key ), //按键输入信号
  32. .sck (sck ), //串行时钟
  33. .cs_n (cs_n ), //片选信号
  34. .mosi (mosi ) //主输出从输入数据
  35. );
  36. //------------- memory -------------
  37. m25p16 memory
  38. (
  39. .c (sck ), //输入串行时钟,频率12.5Mhz,1bit
  40. .data_in (mosi ), //输入串行指令或数据,1bit
  41. .s (cs_n ), //输入片选信号,1bit
  42. .w (1'b1 ), //输入写保护信号,低有效,1bit
  43. .hold (1'b1 ), //输入hold信号,低有效,1bit
  44. .data_out ( ) //输出串行数据
  45. );
  46. endmodule

声明:本文内容由网友自发贡献,转载请注明出处:【wpsshop博客】
推荐阅读
相关标签
  

闽ICP备14008679号