当前位置:   article > 正文

FPGA-vivado仿真导出波形文件_vivado导出仿真数据

vivado导出仿真数据

在vivado仿真中,没有提供直接导出波形文件的功能,我们要导出波形文件可以按照下面方式进行:

1、运行仿真后,在simulation界面的TCL中运行一下命令:
  • open_vcd
  • log_vcd [get_object /testbench/module/*] //这里选组要导出的信号,*表示模块module下的所有信号
  • run 50us //运行仿真
  • close_vcd
2、上述步骤完成后,我们就可以查看文件了,路径如下:

项目工程\mcu.sim\sim_1\synth\timing\xsim\dump.vcd
可以使用gtkwave直接打开查看信号了:

在这里插入图片描述

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/花生_TL007/article/detail/436471
推荐阅读
相关标签
  

闽ICP备14008679号