当前位置:   article > 正文

FPGA-UART串口_uart协议接收逻辑

uart协议接收逻辑

1,什么是UART?

UART:全称为Universal Asynchronous Receiver/Transmitter,通用异步收发器。是一种串行异步的通信协议,该协议规定了传输数据时数据的传输方式以及所使用的信号,在嵌入式领域中有着非常广泛的应用。

通用异步收发传输器(Universal Asynchronous Receiver/Transmitter,UART)是一种异步 收发传输器,其在数据发送时将并行数据转换成串行数据来传输,在数据接收时将接收到的 串行数据转换成并行数据,可以实现全双工传输和接收。它包括了RS232、RS449、RS423、 RS422 和 RS485 等接口标准规范和总线标准规范。换句话说,UART 是异步串行通信的总 称。而RS232、RS449、RS423、RS422和RS485等,是对应各种异步串行通信口的接口标 准和总线标准,它们规定了通信口的电气特性、传输速率、连接特性和接口的机械特性等内 容。

RS232  其中+0-+15V表示高电平  0 - -15V表示低电平

RS485接口  采用差分信号(幅值相等 极性相反 的两根吸信号)传输数据

电压差 D+ - D- : +2V-+6V 低电平  -2V - -6V 高电平

UART串口接受和发送都是TTL电平信号, 那什么是TTL电平信号呢?

TTL由双极晶体管构成,只能工作在5V的电压下,TTL器件输出低电平要小于0.5V,高电平要大于2.4V,对于一个接收器来说,如果电压小于0.8V,那么就认为其是逻辑0;高于2V就是逻辑1;

但是2.4V和5V之间由较大的差距,不利于改变噪声,而且会增加系统的功耗,还会影响信号变化的速度;后买就发展了LVTTL(2种 3.3V  2.5V)

USB转TTL串口电路(FT232 CP2104 CH340系列等等)

串口细节梳理

数据位可选择为:5、6、7或者 8(默认)。

在bit7和停止位之间 可以插入1位校验位 

2,实现UART-发送控制器

UART发送逻辑和UART接受逻辑

起始位  bit1 bit2 ... bit7 停止位   (8位数据 10位时间)

位时间  -----> 波特率又称码元率,是指每秒传输码元的数目,单位波特(Band) 

码元:在数字通信中常常用时间间隔相同的符号来表示一个二进制数字二进制数字,这样的时间间隔内的信号称为(二进制)码元。 而这个间隔被称为码元长度

2.1UART串口发送逻辑分析

实现设计的模块端口

输入:

Data[7:0] :  8位输入 对应8个拨码开关   ;

时序电路,所以有CLK时钟,以及Reset_n复位;

输出:

uart_tx :  需要发送的数据;

LED :   发送完LED闪烁;

细节梳理 :

9600波特率:计数器实现

起始位 bit1,bit2....bit7 停止位(一共10位)----->定义一个4位计数器 在发送数据时在0-9计数,

然后根据计数器的值 来决定发起始位还是8位数据还是停止位。

其中,每1秒发送一次数据  (每秒都能发送)

当发送到bit3时  我飞快的改变bit4,bit5,bit6,bit7,使其为1,那我们究竟发0 还是发1呢?

答案是肯定发送0  因为在启动发送的时候是0,即使之后变为了1,我们也只能发送启动发送的值 那就得想办法在启动发送的时候把拨码开关的值,存储下来,只发生存储的值。而不管拨码开关当前的值。

那怎么存呢  ----->D触发器 存储特性

其实就是找到发送完成的时刻。

思路:通过线性序列机,当串口完成发送时,翻转LED状态

2.2编写代码分析

输入 : data;Clk;Reset;

输出:uart_tx; LED;

首先 波特率  ---> 波特率计数器   

然后 每一位发送 -----> 位计数器

之后  位发送逻辑

最后 控制LED翻转

开发流程可参考(FPGA_Vivado开发流程

代码如下

  1. module uart_tx0(
  2. data,
  3. Clk,
  4. Reset,
  5. uart_tx,
  6. LED
  7. );
  8. input [7:0]data;
  9. input Clk;
  10. input Reset;
  11. output reg uart_tx;
  12. output LED;
  13. reg LED;
  14. parameter DELAY = 50000000-1; //1000000000/20;
  15. parameter MCNT_DIV = 5208 - 1; //1000000000/9600/20;
  16. parameter MCNT_BIT = 10-1;
  17. reg [3:0]bit_count;
  18. reg en_bud;
  19. reg [25:0]delay_count;
  20. //reg [11:0]delay_cnt;
  21. //波特率计数
  22. reg [12:0]div_count;
  23. always@(posedge Clk or negedge Reset)
  24. if(!Reset)
  25. div_count <= 0;
  26. else if(en_bud)begin
  27. if(div_count >= MCNT_DIV)
  28. div_count <= 0;
  29. else
  30. div_count <= div_count + 1;
  31. end
  32. else
  33. div_count <= 0;
  34. //使能
  35. always@(posedge Clk or negedge Reset)
  36. if(!Reset)
  37. en_bud <= 0;
  38. else if(delay_count == DELAY)
  39. en_bud <= 1;
  40. else if(div_count ==MCNT_DIV && bit_count == MCNT_BIT)
  41. en_bud <= 0;
  42. //位计数
  43. always@(posedge Clk or negedge Reset)
  44. if(!Reset)
  45. bit_count <= 0;
  46. else if(div_count == MCNT_DIV)begin
  47. if(bit_count == MCNT_BIT)
  48. bit_count <= 0;
  49. else
  50. bit_count <= bit_count + 1'd1;
  51. end
  52. // 1S 延时计数器
  53. always@(posedge Clk or negedge Reset)
  54. if(!Reset)
  55. delay_count <= 0;
  56. else if (delay_count == DELAY)
  57. delay_count <= 0;
  58. else
  59. delay_count <= delay_count + 1'd1;
  60. reg[7:0]temp_data;
  61. always@(posedge Clk or negedge Reset)
  62. if(!Reset)
  63. temp_data <= 0;
  64. else if(delay_count == DELAY)
  65. temp_data <= data;
  66. else
  67. temp_data <= temp_data;
  68. always@(posedge Clk or negedge Reset)
  69. if(!Reset)
  70. uart_tx <= 0;
  71. else if(en_bud==0)
  72. uart_tx <= 1;
  73. else begin
  74. case (bit_count)
  75. 0 : uart_tx <= 0 ;
  76. 1 : uart_tx <= temp_data[0];
  77. 2 : uart_tx <= temp_data[1];
  78. 3 : uart_tx <= temp_data[2];
  79. 4 : uart_tx <= temp_data[3];
  80. 5 : uart_tx <= temp_data[4];
  81. 6 : uart_tx <= temp_data[5];
  82. 7 : uart_tx <= temp_data[6];
  83. 8 : uart_tx <= temp_data[7];
  84. 9 : uart_tx <= 1;
  85. default: uart_tx <= 0;
  86. endcase
  87. end
  88. //控制LED翻转
  89. always@(posedge Clk or negedge Reset)
  90. if(!Reset)
  91. LED <= 0;
  92. else if(div_count ==MCNT_DIV && bit_count == MCNT_BIT)
  93. LED <= ~LED;
  94. else
  95. LED <= 0;
  96. endmodule

然后编写testbench测试文件 

代码如下(在测试中我们将时间参数减小便于调试仿真)

  1. `timescale 1ns / 1ps
  2. module uart_tx0_tb();
  3. reg [7:0]data;
  4. reg Clk;
  5. reg Reset;
  6. wire uart_tx;
  7. wire LED;
  8. uart_tx0 uart_tx00(
  9. .data(data),
  10. .Clk(Clk),
  11. .Reset(Reset),
  12. .uart_tx(uart_tx),
  13. .LED(LED)
  14. );
  15. //时间计数参数调小 便于测试仿真
  16. defparam uart_tx0.DELAY = 500000 -1;
  17. initial Clk = 0;
  18. always #10 Clk = ~Clk;
  19. initial begin
  20. Reset = 0;
  21. data = 0;
  22. #201
  23. Reset = 1;
  24. #100
  25. data = 8'h57;
  26. #20000000;
  27. data = 8'h75;
  28. #20000000;
  29. $stop;
  30. end
  31. endmodule

仿真波形如下:

对uart发送进行优化  加入Send_GO信号  Baud_Set信号波特率设置,支持 6种,baud set为 3 位

以及发送完成信号

代码如下

  1. module uart_tx_3(
  2. Clk,
  3. Reset_n,
  4. Data,
  5. Send_G0,
  6. Baud_Set,
  7. uart_tx,
  8. Tx_Done
  9. );
  10. input Clk; //模块全局时钟 50MHz
  11. input Reset_n; //模块全局复位信号
  12. input [7:0]Data; //待传输 8bit
  13. input Send_G0; //发送使能信号
  14. input [2:0]Baud_Set; //baud set 波特率设置,支持 6种,baud set3
  15. output reg uart_tx; //串口发送信号输出
  16. output reg Tx_Done; //发送结束信号,一个时钟周期高电平
  17. parameter MCNT_BIT = 10-1;
  18. //波特率
  19. reg [15:0]bps_DR;
  20. reg [15:0]div_count; //波特率计数器
  21. reg [3:0]bit_count;
  22. reg [7:0]temp_data;
  23. always@(*)
  24. if(!Reset_n)
  25. bps_DR <= 1000000000/9600/20;
  26. else begin
  27. case(Baud_Set)
  28. 0:bps_DR = 1000000000/4800/20;
  29. 1:bps_DR = 1000000000/9600/20;
  30. 2:bps_DR = 1000000000/19200/20;
  31. 3:bps_DR = 1000000000/38400/20;
  32. 4:bps_DR = 1000000000/57600/20;
  33. 5:bps_DR = 1000000000/115200/20;
  34. default:bps_DR <= 1000000000/9600/20;
  35. endcase
  36. end
  37. always@(posedge Clk or negedge Reset_n)
  38. if(!Reset_n)
  39. div_count <= 0;
  40. else if (Send_G0) begin
  41. if(div_count == bps_DR -1)
  42. div_count <= 0;
  43. else
  44. div_count <= div_count + 1'd1;
  45. end
  46. else
  47. div_count <= 0;
  48. always@(posedge Clk or negedge Reset_n)
  49. if(!Reset_n)
  50. bit_count <= 0;
  51. else if(div_count == bps_DR -1)begin
  52. if(bit_count == MCNT_BIT)
  53. bit_count <= 0;
  54. else
  55. bit_count <= bit_count + 1'd1;
  56. end
  57. always@(posedge Clk )
  58. if(Send_G0)
  59. temp_data <= Data;
  60. else
  61. temp_data <= temp_data;
  62. always@(posedge Clk or negedge Reset_n)
  63. if(!Reset_n)
  64. uart_tx <= 0;
  65. else begin
  66. case (bit_count)
  67. 0 : uart_tx <= 0 ;
  68. 1 : uart_tx <= temp_data[0];
  69. 2 : uart_tx <= temp_data[1];
  70. 3 : uart_tx <= temp_data[2];
  71. 4 : uart_tx <= temp_data[3];
  72. 5 : uart_tx <= temp_data[4];
  73. 6 : uart_tx <= temp_data[5];
  74. 7 : uart_tx <= temp_data[6];
  75. 8 : uart_tx <= temp_data[7];
  76. 9 : uart_tx <= 1;
  77. default: uart_tx <= uart_tx;
  78. endcase
  79. end
  80. always@(posedge Clk or negedge Reset_n)
  81. if(!Reset_n)
  82. Tx_Done <= 0;
  83. else if ((bit_count == MCNT_BIT)&& (div_count == bps_DR -1))
  84. Tx_Done <= 1;
  85. else
  86. Tx_Done <= 0;
  87. endmodule

testbench代码:

  1. `timescale 1ns / 1ps
  2. module uart_tx_3_tb();
  3. reg [7:0]Data;
  4. reg Clk;
  5. reg Reset_n;
  6. reg Send_G0;
  7. reg [3:0]Baud_Set;
  8. wire uart_tx;
  9. wire Tx_Done;
  10. uart_tx_3 uart_tx_31(
  11. .Clk(Clk),
  12. .Reset_n(Reset_n),
  13. .Data(Data),
  14. .Send_G0(Send_G0),
  15. .Baud_Set(Baud_Set),
  16. .uart_tx(uart_tx),
  17. .Tx_Done(Tx_Done)
  18. );
  19. initial Clk =1;
  20. always#10 Clk = ~Clk;
  21. initial begin
  22. Reset_n = 0;
  23. Data =0;
  24. Send_G0 = 0;
  25. Baud_Set = 5;
  26. #201
  27. Reset_n = 1;
  28. #100
  29. Data = 8'h57;
  30. Send_G0 = 1;
  31. #20;
  32. @(posedge Tx_Done);
  33. Send_G0 = 0;
  34. #20000;
  35. Data = 8'h75;
  36. Send_G0 = 1;
  37. #20;
  38. @(posedge Tx_Done);
  39. Send_G0 = 0;
  40. #20000;
  41. $stop;
  42. end
  43. endmodule

其中在testbench中  新语法 @(posedge TX_done),死循环,一直等待TX_done的到来,再执行下一语句

仿真结果

3,实现UART-接收控制器

3.1UART串口接收逻辑分析

把接收到的8位串行数据还原出8位并行数据

uart串口来说 u有可能不是一个系统 ,两者之间仅通过一根信号线进行通信,那接收方如何恰好接收到数据呢?uart作为异步通信协议,没有同步信号来实现这些功能的。所以在通信之前,收发双方必须提前约定好各种参数(波特率,数据位个数,校验位,停止位位宽等等)

要点1:对于串口接收来说,我们应该怎么样从串行数据中准确的获取到每一位数据?要点1:对于串口接收来说,我们应该怎么样从串行数据中准确的获取到每一位数据?

每一位数据的中点

 当对于数据线上的每一位进行采样时,一般情 况下认为每一位数据的中间点是最稳定的。因此一般应用中,采集中间时刻时的电平即认为 是此位数据的电平,如下图所示。

但是在实际工业应用中,现场往往有非常强的电磁干扰,只采样一次就作为该数据的电 平状态是不可靠的。很有可能恰好采集到被干扰的信号而导致结果出错,因此这里提出以下 改进型的单bit数据接收方式示意图,使用多次采样求概率的方式进行状态判定,如下图所 示。

 在上图中,将每一位数据再平均分成了16小段。对于Bit_x这一位数据,考虑到数据 在刚刚发生变化和即将发生变化的这一时期,数据极有可能不稳定的(用深灰色标出的两 段),在这两个时间段采集数据,很有可能得到错误的结果,因此判定这两段时间的电平无 效,采集时直接忽略。而中间这一时间段(用浅灰色标出),数据本身是比较稳定的,一般都代表了正确的结果。也就是前面提到的中间测量方式,但是也不排除该段数据受强电磁干 扰而出现错误的电平脉冲。因此对这一段电平,进行多次采样,并求高低电平发生的概率, 6 次采集结果中,取出现次数多的电平作为采样结果。例如,采样 6 次的结果分别为 1/1/1/1/0/1/,则取电平结果为 1,若为0/0/1/0/0/0,,则取电平结果为0,当 6次采样结果中1 和0各占一半(各3次),则可判断当前通信线路环境非常恶劣,数据不具有可靠性,不进行处理。

FPGA中有专门的边沿检测电路:

检测到uart信号下降沿开始   

在什么时候停止呢?

使用位计数器

3.2编写代码分析 

//波特率计数器逻辑

//UART信号边沿检测逻辑

//波特率计数器使能逻辑

//位计数器逻辑

//位接收逻辑

//接收完成标志信号

单 bit 异步信号同步设计

这里串口接收的信号uart_rx相对于FPGA内部信号来说是一个异步信号,如不进行处理直接将其输入使用,容易出现时序违例导致亚稳态。uart_rx信号由外界输入得到,在什么时候得到,我们无法预知和控制, 而其他信号都是由时钟信号驱动,所以uart_rx信号有可能在Clk信号上升沿很近的位置发生变化,甚至同步发生变化,就会导致D触发器无法正确存储D端口数据,无法正确有效的判断存储这个时刻的uart状态,就会引起D触发器输出震荡---->从而产生亚稳态。

因此这里就需要先将信号同步到 FPGA的时钟域内才可以供后续模块使用,常见的同步方法即使用两级触发器,也就是使 用触发器对信号打两拍的方式进行与系统时钟进行同步,参考电路即如下图所示。其中 uart_rx 为异步串口输入信号,uart_rx_sync2为同步后的信号。

设计代码如下:

  1. module uart_rx_0(
  2. Clk,
  3. Reset_n,
  4. uart_rx,
  5. Baud_Set,
  6. Data,
  7. Rx_done
  8. );
  9. input Clk;
  10. input Reset_n;
  11. input uart_rx;
  12. input [2:0]Baud_Set;
  13. output reg [7:0]Data;
  14. output reg Rx_done;
  15. reg [1:0]uart_rx_r;
  16. wire posedge_uart_rx;
  17. wire negedge_uart_rx;
  18. reg [9:0]Bps_DR;
  19. reg [9:0]div_count;
  20. reg [7:0]bit_count; //每位分成16次采样
  21. reg RX_EN; //检测到下降沿----发送使能
  22. reg uart_rx_sync1;
  23. reg uart_rx_sync2;
  24. reg [2:0]r_data[7:0];
  25. reg [2:0]start_bit;
  26. reg [2:0]stop_bit;
  27. //异步信号转同步
  28. always@(posedge Clk or negedge Reset_n)
  29. if(!Reset_n)begin
  30. uart_rx_sync1 <= 0;
  31. uart_rx_sync2 <= 0;
  32. end
  33. else begin
  34. uart_rx_sync1 <= uart_rx;
  35. uart_rx_sync2 <= uart_rx_sync1;
  36. end
  37. //边沿检测
  38. always@(posedge Clk)begin
  39. uart_rx_r[0] <= uart_rx_sync2;
  40. uart_rx_r[1] <= uart_rx_r[0];
  41. end
  42. assign posedge_uart_rx = (uart_rx_r == 2'b01);
  43. assign negedge_uart_rx = (uart_rx_r == 2'b10);
  44. //波特率设置
  45. always@(*)
  46. case(Baud_Set)
  47. 0: Bps_DR = 1000000000/4800/20/16 - 1;
  48. 1: Bps_DR = 1000000000/9600/20/16 - 1;
  49. 2: Bps_DR = 1000000000/19200/20/16 - 1;
  50. 3: Bps_DR = 1000000000/38400/20/16 - 1;
  51. 4: Bps_DR = 1000000000/57600/20/16 - 1;
  52. 5: Bps_DR = 1000000000/115200/20/16 - 1;
  53. default: Bps_DR = 1000000000/9600/20/16 - 1;
  54. endcase
  55. always@(posedge Clk or negedge Reset_n)
  56. if(!Reset_n)
  57. RX_EN <= 0;
  58. else if(negedge_uart_rx)
  59. RX_EN <= 1;
  60. // else if(Rx_done || (stop_bit >= 4))
  61. else if((div_count == 1)&&(bit_count ==0)&&(uart_rx_sync2 == 1))
  62. RX_EN <= 0;
  63. else if(Rx_done)
  64. RX_EN <= 0;
  65. //分频计数器
  66. always@(posedge Clk or negedge Reset_n)
  67. if(!Reset_n)
  68. div_count <= 0;
  69. else if(RX_EN) begin
  70. if(div_count == Bps_DR)
  71. div_count <= 0;
  72. else
  73. div_count <= div_count+ 1'b1;
  74. end
  75. else
  76. div_count <= 0;
  77. //位计数器
  78. always@(posedge Clk or negedge Reset_n)
  79. if(!Reset_n)
  80. bit_count <= 0;
  81. else if(RX_EN) begin
  82. if(div_count == 1)begin
  83. //if(bit_count == 160 -1 | bit_count == 12 && (start_bit >2))
  84. if(bit_count == 159 )
  85. bit_count <= 0;
  86. else
  87. bit_count <= bit_count + 1'b1;
  88. end
  89. end
  90. else
  91. bit_count <= bit_count;
  92. always@(posedge Clk or negedge Reset_n)
  93. if(!Reset_n)begin
  94. start_bit <= 0;
  95. r_data[0] <= 0;
  96. r_data[1]<= 0;
  97. r_data[2]<= 0;
  98. r_data[3]<= 0;
  99. r_data[4]<= 0;
  100. r_data[5]<= 0;
  101. r_data[6]<= 0;
  102. r_data[7]<= 0;
  103. stop_bit <= 0;
  104. end
  105. else if(div_count == 1)begin
  106. case(bit_count)
  107. 0:begin
  108. start_bit <= 0;
  109. r_data[0] <= 0;
  110. r_data[1]<= 0;
  111. r_data[2]<= 0;
  112. r_data[3]<= 0;
  113. r_data[4]<= 0;
  114. r_data[5]<= 0;
  115. r_data[6]<= 0;
  116. r_data[7]<= 0;
  117. stop_bit <= 0;
  118. end
  119. 6,7,8,9,10,11 :start_bit <= start_bit + uart_rx_sync2;
  120. 22,23,24,25,26,27:r_data[0] <= r_data[0] + uart_rx_sync2;
  121. 38,39,40,41,42,43:r_data[1] <= r_data[1] + uart_rx_sync2;
  122. 54,55,56,57,58,59:r_data[2] <= r_data[2] + uart_rx_sync2;
  123. 70,71,72,73,74,75:r_data[3] <= r_data[3] + uart_rx_sync2;
  124. 86,87,88,89,90,91:r_data[4] <= r_data[4] + uart_rx_sync2;
  125. 102,103,104,105,106,107:r_data[5] <= r_data[5] + uart_rx_sync2;
  126. 118,119,120,121,122,123:r_data[6] <= r_data[6] + uart_rx_sync2;
  127. 134,135,136,137,138,139:r_data[7] <= r_data[7] + uart_rx_sync2;
  128. 150,151,152,153,154,155:stop_bit <= stop_bit + uart_rx_sync2;
  129. default:begin
  130. start_bit <= start_bit;
  131. r_data[0] <= r_data[0];
  132. r_data[1] <= r_data[1];
  133. r_data[2] <= r_data[2];
  134. r_data[3] <= r_data[3];
  135. r_data[4] <= r_data[4];
  136. r_data[5] <= r_data[5];
  137. r_data[6] <= r_data[6];
  138. r_data[7] <= r_data[7];
  139. stop_bit <= stop_bit ;
  140. end
  141. endcase
  142. end
  143. always@(posedge Clk or negedge Reset_n)
  144. if(!Reset_n)
  145. Data <= 0;
  146. else if((div_count == 1) && (bit_count == 159)) begin
  147. Data[0] <= (r_data[0] >= 4) ? 1 : 0;
  148. Data[1] <= (r_data[1] >= 4) ? 1 : 0;
  149. Data[2] <= (r_data[2] >= 4) ? 1 : 0;
  150. Data[3] <= (r_data[3] >= 4) ? 1 : 0;
  151. Data[4] <= (r_data[4] >= 4) ? 1 : 0;
  152. Data[5] <= (r_data[5] >= 4) ? 1 : 0;
  153. Data[6] <= (r_data[6] >= 4) ? 1 : 0;
  154. Data[7] <= (r_data[7] >= 4) ? 1 : 0;
  155. end
  156. always@(posedge Clk or negedge Reset_n)
  157. if(!Reset_n)
  158. Rx_done <= 0;
  159. else if((div_count == 1) && (bit_count == 159))
  160. Rx_done <= 1;
  161. else
  162. Rx_done <= 0;
  163. endmodule

编写测试代码:

  1. `timescale 1ns / 1ps
  2. module uart_rx_0_tb();
  3. reg Clk;
  4. reg Reset_n;
  5. reg uart_rx;
  6. reg [2:0]Baud_Set;
  7. wire [7:0]Data;
  8. wire Rx_done;
  9. uart_rx_0 uart_rx_0(
  10. .Clk(Clk),
  11. .Reset_n(Reset_n),
  12. .uart_rx(uart_rx),
  13. .Baud_Set(Baud_Set),
  14. .Data(Data),
  15. .Rx_done(Rx_done)
  16. );
  17. initial Clk = 1;
  18. always #10 Clk = ~Clk;
  19. initial begin
  20. Reset_n = 0;
  21. uart_rx = 1;
  22. #201;
  23. Reset_n = 1;
  24. Baud_Set = 5;
  25. #200;
  26. uart_tx(8'b01110101);
  27. #90000;
  28. uart_tx(8'b10111010);
  29. #90000;
  30. uart_tx(8'b11100110);
  31. #90000;
  32. #2000;
  33. $stop;
  34. end
  35. task uart_tx;
  36. input [7:0]tx_data;
  37. begin
  38. uart_rx = 1;
  39. #20;
  40. uart_rx = 0;
  41. #8680;
  42. uart_rx = tx_data[0];
  43. #8680;
  44. uart_rx = tx_data[1];
  45. #8680;
  46. uart_rx = tx_data[2];
  47. #8680;
  48. uart_rx = tx_data[3];
  49. #8680;
  50. uart_rx = tx_data[4];
  51. #8680;
  52. uart_rx = tx_data[5];
  53. #8680;
  54. uart_rx = tx_data[6];
  55. #8680;
  56. uart_rx = tx_data[7];
  57. #8680;
  58. uart_rx = 1;
  59. #8680;
  60. end
  61. endtask
  62. endmodule

仿真波形如下:

以上实现了Uart接收模块,然后设计顶层模块----->控制LED

代码如下:

  1. module uart_rx_LED(
  2. Clk,
  3. Reset_n,
  4. uart_rx,
  5. LED,
  6. Rx_Data
  7. );
  8. input Clk;
  9. input Reset_n;
  10. input uart_rx;
  11. output reg LED;
  12. output Rx_Data;
  13. wire Rx_Done;
  14. uart_rx_0 uart_rx_00(
  15. .Clk(Clk),
  16. .Reset_n(Reset_n),
  17. .uart_rx(uart_rx),
  18. .Baud_Set(5),
  19. .Data(Rx_Done),
  20. .Rx_done(Rx_Done)
  21. );
  22. always@(posedge Clk or negedge Reset_n)
  23. if(!Reset_n)
  24. LED <= 0;
  25. else if(Rx_Done)
  26. LED <= ~LED;
  27. endmodule

至此,uart串口发送-接收逻辑到此结束!

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/IT小白/article/detail/467789
推荐阅读
相关标签
  

闽ICP备14008679号