当前位置:   article > 正文

GAMES101 Windows作业环境配置 【Visual Studio】_games101作业3 window vs运行

games101作业3 window vs运行

目的

作业3的框架貌似在虚拟机上用不了,而且C++17的新特性在VSCode上不好配置,一些语法在编辑器上报错……
找了很久找不到解决方案,所以还是换到Windows环境上来折腾~
  • 1
  • 2

用料

Visual Studio 2019企业版;
CMake : 在这套环境中,CMake只用于编译生成Eigen-VisualStudio的工程;
Eigen库;
OpenCV;
  • 1
  • 2
  • 3
  • 4

Eigen库的导入

Egien下载链接

Eigen3.4.0下载 取件码 101h

Eigen库编译

CMake3.15.5下载链接 取货码 101h
CMake 安装自行百度……

1.打开CMakeGui,按照下图所示操作
按图操作
2.在点击第三步Config按钮时,会弹出如下弹窗,按如下选项配置就好
在这里插入图片描述
3.在第四步走完之后,你会在输出目录下见到Eigen3.sln文件
在这里插入图片描述
4. 以管理员身份运行你的VisualStudio
在这里插入图片描述
5.在VisualStudio中打开刚才的Eigen3.sln
在这里插入图片描述
6.选中INSTALL项目,右键生成;
生成完成之后,会在C:\Program Files (x86)中生成Eigen3库;

Eigen库之VisualStudio引用

在这里插入图片描述
打开visual studio 的项目-> xxx(你自己的项目名)属性页,
配置好 包含路径,如下图所示
(ps:配置©:项最好选择所有配置平台§:其实也可以选择所有平台,这样更通用一点)
在这里插入图片描述
点击之<编辑…>之后,会弹出如下页面:按图操作就好
参考目录,C:\Program Files(x86)\Eigen3\include

在这里插入图片描述
到这里,基本就将Eigen库配置完成。

OpenCV的导入

OpenCV下载链接(感谢同事帅小伙翻墙帮我下载,不翻墙网速实在感人)

OpenCV-4.6.0 取餐码 101h

OpenCV库的接入

点击opencv-4.6.0-vc14-vc15.exe导出文件会得到一个build目录,这是我们的接入文件夹;
1.需要设置环境变量,使得能够加载opencv_world460.dll
xxx(你自己的导出目录)\opencv\build\bin;
xxx(你自己的导出目录)\opencv/build\x64\vc14\bin;
xxx(你自己的导出目录)\opencv\build\x64\vc15\bin;
这三个目录都设置到环境变量Path中;
设置完成后,建议重启电脑,让环境变量生效。

康复训练:
设置环境变量流程:
我的电脑(或者随便打开一个文件夹,右击此电脑)->属性–>高级系统设置–>环境变量;
然后双击系统变量表中的Path,新建三个变量,分别以上述三个路径为值;

2.配置VisualStudio的包含目录
像配置Eigen3一样,配置OpenCV
只不过需要配置两个路径,分别是
xxx(你自己的导出目录)\opencv\build\include\;
xxx(你自己的导出目录)\opencv\build\include\opencv2\
在这里插入图片描述
3.此外,还需要配置VisualStudio的库路径,以使用。
库路径的配置入口:
在这里插入图片描述
点击编辑按钮导入如下库目录
在这里插入图片描述
此外,还需要手动填写两个库名,打开附加库依赖项,入口如下
在这里插入图片描述

手动填写两个库名,如果你使用的OpenCV版本与我不一致,请在opencv/build/x64/vc14/lib下面查看你的库名。
注意:这里只是为了方便配置(懒)才将两个lib都写在了一个项目配置下,
为了准确性,应该在
debug|x64的项目配置下填写open_world460d.lib
release|x64的项目配置下填写open_world460.lib
而不是将两个库写在一个配置下。
因为同时导入两个库,虽然会通过编译,但是会有一些奇怪的bug,
比如cv::waitKey()这个函数会失效

在这里插入图片描述
至此,OpenCV也配置完毕。

GAMES101 作业框架导入

直接将所有代码复制到你配置好的空项目即可
在这里插入图片描述

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/weixin_40725706/article/detail/865416
推荐阅读
相关标签
  

闽ICP备14008679号