当前位置:   article > 正文

FPGA学习之二:使多个LED以不同的频率闪烁_控制led灯不同频率

控制led灯不同频率

一、设计目标

使8个led灯分别按照0.1s、0.2s、0.3s、...、0.8s的闪烁周期闪烁

二、设计方法

调用单个led闪烁模块,重定义参数

三、led_run8.v代码

其中led_flash.v代码在上一篇文章已经讲述,故不赘述。

  1. module led_run8(
  2. clk,
  3. reset_n,
  4. led
  5. );
  6. parameter WIDTH=8;
  7. input clk;
  8. input reset_n;
  9. output [WIDTH-1:0]led;
  10. //例化调用模块
  11. led_flash2 led_flash2_inst0(
  12. .reset_n(reset_n),
  13. .clk(clk),
  14. .led(led[0])
  15. );
  16. defparam led_flash2_inst0.MCNT=2499999;
  17. led_flash2 led_flash2_inst1(
  18. .reset_n(reset_n),
  19. .clk(clk),
  20. .led(led[1])
  21. );
  22. defparam led_flash2_inst1.MCNT=4999999;
  23. led_flash2 led_flash2_inst2(
  24. .reset_n(reset_n),
  25. .clk(clk),
  26. .led(led[2])
  27. );
  28. defparam led_flash2_inst2.MCNT=7499999;
  29. led_flash2 led_flash2_inst3(
  30. .reset_n(reset_n),
  31. .clk(clk),
  32. .led(led[3])
  33. );
  34. defparam led_flash2_inst3.MCNT=9999999;
  35. led_flash2 led_flash2_inst4(
  36. .reset_n(reset_n),
  37. .clk(clk),
  38. .led(led[4])
  39. );
  40. defparam led_flash2_inst4.MCNT=12499999;
  41. led_flash2 led_flash2_inst5(
  42. .reset_n(reset_n),
  43. .clk(clk),
  44. .led(led[5])
  45. );
  46. defparam led_flash2_inst5.MCNT=14999999;
  47. led_flash2 led_flash2_inst6(
  48. .reset_n(reset_n),
  49. .clk(clk),
  50. .led(led[6])
  51. );
  52. defparam led_flash2_inst6.MCNT=17499999;
  53. led_flash2 led_flash2_inst7(
  54. .reset_n(reset_n),
  55. .clk(clk),
  56. .led(led[7])
  57. );
  58. defparam led_flash2_inst7.MCNT=19999999;
  59. endmodule

四、led_run8_tb.v代码

  1. `timescale 1ns / 1ns
  2. module led_run8_tb;
  3. reg clk;
  4. reg reset_n;
  5. wire [7:0]led;
  6. led_run8 led_run8(
  7. .clk(clk),
  8. .reset_n(reset_n),
  9. .led(led)
  10. );
  11. initial clk = 1; //定义初始时钟信号
  12. always #10 clk = ~clk;
  13. initial begin
  14. reset_n = 0;
  15. #201;
  16. reset_n = 1;
  17. #2000000000;
  18. $stop;
  19. end
  20. endmodule

五、仿真波形

 从波形可以看出,led各个翻转周期均与设计一致,故设计完成。

本文内容由网友自发贡献,转载请注明出处:https://www.wpsshop.cn/w/从前慢现在也慢/article/detail/699732
推荐阅读
相关标签
  

闽ICP备14008679号